JP2005510761A - ホトレジスト上へのイメージの直接書き込み中にホトレジストの安定性を拡大する方法 - Google Patents

ホトレジスト上へのイメージの直接書き込み中にホトレジストの安定性を拡大する方法 Download PDF

Info

Publication number
JP2005510761A
JP2005510761A JP2003548032A JP2003548032A JP2005510761A JP 2005510761 A JP2005510761 A JP 2005510761A JP 2003548032 A JP2003548032 A JP 2003548032A JP 2003548032 A JP2003548032 A JP 2003548032A JP 2005510761 A JP2005510761 A JP 2005510761A
Authority
JP
Japan
Prior art keywords
photoresist
topcoat
layer
diffusion barrier
car
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003548032A
Other languages
English (en)
Inventor
メルヴィン ウォレン モントゴメリー
シーシーリャ アネット モントゴメリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2005510761A publication Critical patent/JP2005510761A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/092Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by backside coating or layers, by lubricating-slip layers or means, by oxygen barrier layers or by stripping-release layers or means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/093Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antistatic means, e.g. for charge depletion
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/143Electron beam
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/146Laser beam

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

ホトマスクの製造において、透過性の保護材料の薄膜(トップコート)を有するホトレジストをオーバコーティングすることによって、化学的に増幅されたホトレジストの環境感度が除去されるか、または少なくとも実質的に減少される。ホトマスクパターンの直接書込みに必要な長い時間期間の間改善された安定性を与えるために、一般に、約20時間の範囲内で、他のプロセス変数の要件に依存して、保護トップコート材料が可能な限りpHが中性であるようにpH調整される。例えば、約5〜約8の範囲にあるように調節されたpHが特に有用である。保護トップコートがpH調整されると、直接書き込み中に化学的に増幅されたホトレジストの安定性が良くなるばかりでなく、その表面上のpH調整されたトップコートを有するホトレジストで覆われた基板を、有害なシーケンスがなくイメージング前に長期間保存することができる。

Description

本願発明は、一般にしばしばレチクルと呼ばれるホトマスクの製造方法に関する。特に、ホトマスクは、レーザで生成された遠紫外線(Deep Ultra Violet: DUV)放射又は電子ビーム放射への化学的に増幅されたホトレジストの露光を行なう直接書込みプロセスを用いて製造される。
(関連出願)
この出願は、1999年4月16日に出願された米国特許出願09/293,713号の一部継続出願である2001年7月12日に出願された米国特許出願09/904,454号の一部継続出願である。
ホトレジストは、小型化された電子素子を製造するためのマイクロリソグラフのプロセス、例えば、半導体デバイス構造の製造に用いられる。小型化された電子デバイスの構造パターンは、パターン化されたマスク層を通してブランケット処理によって達成される時間経済のため、一般に、半導体基板上の直接書き込みによるよりも半導体基板の上にあるパターン化されたマスク層からパターンを転写することによって作られる。半導体デバイスの処理に関して、パターン化されたマスク層は、パターン化されたホトレジスト層であるか、又はパターン化される半導体デバイスの構造の表面上にあるパターン化された“ハード”マスク層(一般に、無機材料又は高温有機材料)である。このパターン化されたマスク層は、一般に、しばしばホトマスクすなわちレチクルと呼ばれる他のマスクを用いて製造される。レチクルは、一般に、ガラス又はクォーツ板上に堆積される金属を含む材料(例えば、クロム含有、モリブデン含有、又はタングステン含有材料)の薄い層である。このレチクルは、半導体構造上にあるマスク層上に再生成される個々のデバイス構造のパターンの“ハードコピー”を含むようにパターン化される。
レチクルは、レチクル上にパターンを書き込む方法に依存して、多くのいろいろな技術によって作ることができる。今日の半導体構造の大きさの要求により、書込み方法は、一般にレーザ又は電子ビームである。レチクルを形成するための代表的な方法は:ガラス又はクォーツを設けるステップ、ガラスまたはクォーツ表面上にクロム含む層を堆積するステップ、クロムを含む層上に反射防止膜(antireflective coating:ARC)を堆積するステップ、ARC層上にホトレジストを設けるステップ、ホトレジスト層上に直接書き込んで、所望のパターンを形成するステップ、ホトレジスト層にパターンを現像するステップ、パターンをクロム層までエッチングするステップ、及び残りのホトレジスト層を除去するステップを有する。
書込み放射によって接触したホトレジスト層の領域が現像中に除去し易くなるとき、このホトレジストは、ポジティブ動作のホトレジストと呼ばれる。書込み放射によって接触したホトレジスト層の領域が現像中により除去しにくくなる場合、このホトレジストは、ネガティブ動作のホトレジストと呼ばれる。進歩したレチクル製造材料は、例えば、クロム、クロムオキサイド、クロムオキシナイトライド、モリブデン、モリブデンシリサイド、及びモリブデンタングステンシリサイドから選択された材料の層の組み合わせをしばしば含む。
前述したように、レチクルがホトレジストの表面上にレチクルの開口領域を通るブランケット放射に露光される場合、レチクル又はホトマスクは、パターンを下にあるホトレジストへ転写するために用いられる。その後、ホトレジストは現像され、パターンを下にある半導体デバイス構造に転写するために用いられる。一般に0.3μmより小さな今日のパターン寸法の要求により、ホトレジストは、一般に化学的に増幅されたホトレジストである。レチクル自身の製造において、化学的に増幅されたDUVホトレジストは、レーザ生成されたDUV放射又は直接書込み電子ビーム書込みツールと組み合わせて用いられている。連続波のレーザ生成されたDUV直接書込みツールの例は、オレゴン州、ヒルスボローにあるETECシステム社からALTATM(商標名)として利用可能である。
ホトマスク/レチクルの準備は、レチクルの表面積を横切ってパターンの臨界寸法の均一性を含む、レチクルに生成されるパターンの臨界寸法に影響を及ぼす多くの相互関連ステップを有する複雑なプロセスである。レチクルの製造プロセスにおけるいろいろなステップを変更することによって、プロセスウインドウを含む、製造プロセス自体の再生産性が変えられる。プロセスウインドウは、量的プロセス条件が製造される生産物について有害な結果を有することなく変えられることができることに言及している。プロセスウインドウを大きくすればするほど、生産物について有害な影響のないプロセス条件において許容される変化が大きくなる。従って、大きなプロセスウインドウが望ましい。何故ならば一般にこれが生産された生産物の高い歩留まりを生じるからである。
ホトマスクの製造のためのプロセスウインドウを著しく減少した一つの処理変数は、その表面上に設けられたホトレジストを有するレチクル基板の有効保存期間である。前述のように、0.3μm又はそれ以下のフィーチャサイズのパターンイメージングに使用されるホトレジストは、一般に化学的に増幅されたホトレジストである。化学的に増幅されたホトレジスト(chemically amplified photoresist:CAR)は、一般に、紫外線光、レーザ光、X線又は電子ビームによって照射された領域において酸を生成するように設計される。照射された領域は、続いてパターンに現像されるCARにおけるイメージを形成する。生成された酸は、CARの照射された部分を基本的な現像溶液に溶けるようにする。化学的に増幅されたレジストの多くの変化は、257nm及び193nmの遠紫外線(DUV)光のリソグラフィの適用に対して主に商業的に利用可能である。
米国特許第5,753,044号 Yan Ye他による Decoupled Plasma Source (DPS): Electrochemical Society Proceedings (Vol.96-12, pp. 222-223, 1996), Proceedings of Eleventh International Symposium of Plasma Proceeding(May 7, 1996)
ホトレジスト及び特にCARは、ある環境の汚染物に敏感であることが一般に知られており、したがって、マスク製造用のそれらの使用は幾らか問題になり、特別な扱いを必要とする。CARは、リソグラフの性能のために基板上へのその適用後1時間(またはそれ以下)程度で低下することがわかった。これを防止するために、出願人は、設けられたCARを有するホトマスク基板がイメージング/パターニング放射に露光する前にストアされる時間を延ばす手段として、CAR上へ設けるための保護膜を開発した。しかし、保護膜の開発に続いて、我々は、直接書込みイメージング処理中にパターニングの再現性が良くないことを発見した。ホトマスク用の直接書込みプロセスは、約20時間までかかるし、20時間の間、ホトレジストがある程度影響される結果、パターン化されたフィーチャの臨界寸法は、ホトレジスト上の直接書き込みが進歩したように小さくなっていった。本発明は、ホトマスクをイメージ化するための直接書込みプロセス中にCARにおける均一で、再現可能なパターンの臨界寸法の維持の仕方の問題を解決する。
ホトマスクの製造において、化学的に増幅されたホトレジストの環境の敏感性が保護的な、しかし透過材料の薄いコーティング(トップコート)でホトレジストを覆うことによって、除去されるか、又は少なくとも実質的に減少される。トップコート材料はホトレジストの反射係数及び厚さに一致した反射係数及び厚さを示すならば、それは特に有用である。代表的な式は、t=λ/4nであり、ここで、tは厚さ、λはトップコート又はホトレジストを通過する光の波長、nは反射係数である。DUVの直接書込みイメージングに対して、一般に、化学的に増幅されたホトレジストの厚さは、約5000Åの範囲であり、反射係数は約1.79から約1.83まで変化する。結果的に、トップコートの厚さは、一般に約650Åから約675Åまでの範囲であり、トップコートの反射係数は、約1.32から約1.45までの範囲である。一般に、トップコートの反射係数は、化学的に増幅されたホトレジストの反射係数の平方根である。
ホトマスクパターンの直接書き込みのために必要な長い時間周期の間、向上した安定性を与えるために、一般に約20時間の範囲において、他のプロセス変数の要求に依存して、トップコートは可能な限りpHが中性であるようにpH調節される。トップコートは、pHが約6.5〜約7.5の場合に用いられるけれども、一般に、約5から約8までの範囲のpHが用いられ、トップコートが電荷を消散するべきである場合(例えば、トップコートが導電性にされる場合電子ビームリソグラフィに対して)しばしば必要とされる。数週間までの時間期間、取扱いの保管中に、トップコートの導電性が一般に下にあるホトレジストの有効保存期間の維持に役立つことができる。更に、導電性は、直接書込みプロセスの間ホトレジストの安定性を与えるのに役立つ。約5〜約8の範囲内に入るようにトップコートのpHを調節することによって、ホトレジストで覆われた基盤の保存期間が増加され、また光または電子ビーム放射のいずれかによってホトレジストの直接書込みイメージング中の安定性が著しく増大され、ホトレジストのリソグラフの性能(臨界寸法及び完全性にかんして)において実質的な改善を行なう。
ホトレジスト上の直接書き込みが実際には光であるツールを用いて行なわれる幾つかの例がある。一例は、DUV放射を生成する直接書込み連続波レーザである。この例において、約6.5〜約7.5の範囲のpHを有するより中性のトップコートが用いられる。例えば、“光学イメージングを用いる高性能マスク製造用の有機ボトムの反射防止膜”の名称で2001年5月3日に出願され、本発明の譲渡人に譲渡された米国特許出願09/848,859号は、オレゴン州、ヒルスボローにあるETECシステム社から利用可能な244nmまたは257nmのマスク書込みレーザである新しい直接パターン書込みツールを用いるレチクル製造プロセスを記載している。ホトマスクパターンの275nmの直接書込みプロセス変数についての更なる情報が“光学的にイメージされた高性能ホトマスク”の名称で2001年7月23日に出願され、本発明の譲渡人に譲渡された米国特許出願09/912,116号において提供されている。これらの出願のいずれもがレファレンスによってここに含まれる。
CAR上に上述されたpHが調節された保護トップコートの適用によって、その実際の露光の数ヶ月前に露光されないホトレジストで被膜された基板(ウエハまたは基板)を用意すること、及び長い時間期間パターン(イメージング)ツールに基板を保持することが可能である。これは、パターンの書き込み前に直ちに基板にホトレジストの適用を必要とし、全体のホトマスクの直接書込みプロセス中に一致した臨界寸法を与えない保護膜のないCARの使用とは対照的である。
詳細な説明への序文として、本願の明細書及び請求項に用いられているように、単数形は、特に断らない限り、複数形を含むことを留意すべきである。
本願は、1999年4月16日に出願された米国特許出願09/293,713号の一部継続出願である2001年7月12日に出願された米国特許出願09/904,454号の一部継続出願である。これら2つの出願の主題はレファレンスによってここに含まれる。
図1は、本発明の1実施例を示すために用いられる構造体130の断面図を示す。この構造体130は、下部から上部へ、マスクの場合、一般に、クォーツ、フッ化クオーツ、ホウ素シリケートガラス、またはソーダライム(ソーダ石灰)ガラスから選択される基板112;クロム含有層114;DUVホトレジスト層(CAR)116;及び保護トップコート122を含む。この構造体は、パターニング前の大部分のホトマスク基板が反射防止膜(ARCs)を有しているので、幾分簡略化されている。ARCは、クロム含有層114と保護トップコート122間にあってもよい。簡略化のために、これらのARCsは図に示されていない。ホトレジスト層116とトップコート122間のARCの使用に関して、保護トップコート122自体はARCであってもよい。本発明の実施例において、これは最も効率的な構造であるので、トップコート122はARCである。
クロム含有層114とCARホトレジスト層116間にARCがあるならば、このARCは、一般にボトムARCすなわちBARCと呼ばれる。このようなBARCは、一般に無機ARC、例えば、クロムオキシナイトライド、チタンナイトライド、シリコンナイトライド、またはモリブデンシリサイド206である。“光学的にイメージングを用いる高性能マスク製造用の有機ボトム反射防止膜”の名称で2001年5月3日に出願され、本発明の譲渡人に譲渡された米国特許出願09/848,859号に記載された無機ARCの上にある追加の有機ARCがある。代わりに、BARCは、無機BARCがなく単独で使用された米国特許出願09/848,859号に記載された種類の有機ARCであってもよい。BARCの存在は、クロム含有層に実際上生成されるパターン形状の臨界寸法に影響を及ぼすけれども、本発明は、パターン形状の臨界寸法に影響を与える他のプロセスファクタに関し、したがって、BARCはここで詳細に説明されない。この開示を読んだ後で、当業者は、本願発明の主な実施例は、トップコート122に関し、全体のホトマスクを横切ってパターン形状の均一な臨界寸法を有する所望のパターン形状を有する反復可能なホトマスクパターンを得るためのその寄与を理解するであろう。
臨界寸法の均一性がホトマスクの全表面にわたって維持される場合、0.3μmまたはそれ以下の臨界寸法を有する形状を与えるホトマスクを有することが大変望ましい。これを達成するために、ホトマスクの表面にわたって一様にイメージ化され、現像されるパターン化したホトレジストを生成する方法を有することが必要である。ホトレジストの現像されたパターンのプロファイルは、ホトレジストがホトレジストの上面におけるホトレジスト材料の酸性の含有量を中性にする周囲の状態に曝されると、ホトレジストの上部に生じる“t”-トッピングを含んで、最小の表面歪を示す必要がある。図2(A)−図2(E)は、本発明を理解するのに有益な一連のプロセスステップを示す。
特に、図2(A)は、下部から上部へ、溶融したシリカ212の層、クロム含有層214、CAR216および保護トップコート222を含む構造体230を示す。構造体230は、保護トップコート222をとおしてCAR216へ達する化学作用のある放射によって、CAR216にイメージ224を生成する直接書込みプロセスにおいて化学作用のある放射223に曝される。以下の例に記載されるように、出願人は、DUVのCARホトレジストをイメージ化するために244nmまたは257nmでパターンを直接書き込む光学イメージング装置を用いた。前述したように、保護トップコート222の反射係数は、CAR216の反射係数と“マッチング”され、一般に、保護トップ層222の反射係数は、ほぼ化学的に増幅されたホトレジスト層216の反射係数の平方根に等しいことが重要である。
図2(B)に示されるように、CAR216のイメージング後に、イメージ224がCAR216の全厚さを通して転写され、パターンが続いて正しく現像されるように、構造体230は、周囲の状態の下で特別に設計された範囲内の温度で焼かれ、CAR内で完全な化学反応を促進するのを助ける。図2(B)に示されたベーキングステップは、露光後ベーキング(Post Exposure Bake:PEB)と呼ばれる。図2(B)において、CAR216内にイメージを完全に促進するために、加熱源226がCAR216に加えられる。
図2(B)に示されたベーキングステップに続いて、トップコート222を除去するために、図2(C)に示された任意のステップを用いることができる。もし、CARホトレジスト222を現像するために用いられる処理がトップコート222も除去するならば、このステップは必要ない。本実施例において、図2(C)示されるように、CARホトレジスト216の現像前に、トップコート222を除去するために脱イオン水によるリンスが行なわれた。
図2(D)に示されるように、CAR216におけるイメージは、PEBに続いて(そして任意に、上述されたように、トップコート222の除去に続いて)現像される。構造体230は、CAR216の上面上に与えられる液体現像剤228に一般に曝され、一方構造体230は軸の周りに回転229される。構造体230の回転は、全体の基板230上に現像剤228を配分するようにし、パターンの現像プロセスにおいて除去される、溶けたCAR材料を運び去るようにする。放射に露光されたイメージ224は、非放射領域227をそのまま残して、開いたスペース225を生成するために除去される。
CAR216のパターンの現像後に、パターン化されたホトレジストは、開いた領域上での残留レジストのかすを除去するために、及びレジスト表面から欠陥を除去するために、トリム(トリミング)される。このトリミングステップは図示されていないが、プラズマドライエッチングプロセスを用いて、日常的に行なわれる。このトリムプロセスは、2001年3月16日に出願され、本発明の譲渡人に譲渡された、Buxbaum他による米国特許出願09/811,186号に詳細に記載されている(レファレンスによりここに含まれる)。
図2(E)は、CAR216から下にあるクロム含有層214へのパタン転写を示す。一般に、このパターン転写は、異方性のプラズマドライエッチング技術を用いて行なわれる。図2(E)において、クロム含有層214をとおってCAR216から溶融されたシリカ基板212の上面へパターンを転送するプラズマエッチャント232が示されている。
I. 模範的な実施例においてCARをイメージ化するために用いられる装置
続いてここに説明される方法を実施するために用いられるイメージングシステムは、新規な装置、すなわち244nmまたは257nmのホトマスク書き込みのために用いられることができる直接書込み連続波レーザツールである。このツールは、オレゴン州、ヒルスボローにあるETECシステム社から利用可能である。ALTATM(登録商標)で利用可能な直接書込み連続波レーザツールは、ラスター操作書込み装置である。この装置は、レーザビームを各面で反射し、回転する、基板を横切ってビームを走査する(ブラッシュと呼ばれる)ポリゴンを含む。257nmALTATMマスク書込みレーザツールのための書込み品質の仕様は、約400nmの最小フィーチャサイズ (ユーザが仕様の50%、または200nmにおけるフィーチャを実現することができる)を含む。257nmALTATMマスク書込みレーザツールは、進歩したフィーチャの臨界寸法(CD)、直線性、及び均一性をユーザに提供する。しかし、製造されたマスクにおける完全な性能の可能性の実現は、マスクの製造中における適切なプロセス材料及び処理方法の使用に依存する。最大のDUV出力電力は、約1.5Wである。レーザは、光学コーティングを保護し、レーザの寿命を延ばすために低電力レベルで動作される。ALTATM257nm直接書込み連続波レーザの光学アーキテクチャに関する情報は、製造業者から得られる。
ここでは詳細に記載されないが、電子ビームの直接書き込みのために使用することができる他のイメージング装置がカルフォルニア州、ヘイワードのETEC社から利用可能なMEBESTMである。
II. 保護トップコートと組み合わせてCARを使用するホトマスクをパターン化する方法
ホトマスクをパターン化する全ての方法は、本発明を適用することによって利する。以下の例に記載された発明は、化学的に増幅されたDUVホトレジストがパターンをホトレジストに転写するために用いられるとき、ホトマスクの光学パターン化中に用いられるトップコート用である。有害な周囲の要素が化学的に増幅されたホトレジストに影響を及ぼすことを防ぐために、拡散層として働く保護トップコートは、以下の例では上部ARC(TARC)の中性化された形状であった。特に、保護トップコートは、ニュージャージ州、ソマービルのClariant社によって販売されたAZ AQUATAR IIITMであった。AZ AQUATAR IIITMは、1重量%未満の濃度で存在するフルオロアルキルスルフォン酸、5%重量未満の濃度で存在するフルオロアルキルスルフォン酸塩、及び95重量%を越える濃度で存在する水を含む。例に記載されている化学的に増幅されたDUVホトレジスト(CAR)は、アクリル基の化学的に増幅されたホトレジストであった。しかし、本発明の範囲は、化学的に増幅されたホトレジストのこの特定の種類の保護トップコート、またはこの特定のファミリーに限定することを意図しない。
本方法が電子ビームイメージング装置に対して行なわれた場合、保護トップコートの材料は電荷消散である。電荷を消散するトップコートは、容易に設けることができるあらゆる導電性材料、例えば、ポリアニリンのような(乾く)最初液体の有機導電性材料の薄い層である。一般に知られている電荷消散膜は、ニューヨーク州、パーチャスにあるIBMから利用可能なPANAQUASTM、または日本の大阪にあるNITTO化学から利用可能なAQUASAVETMである。露光電子ビームは、一般に10,000ボルトより大きな加速電圧で動作され、従って、レジスト表面より下に約1ミクロン〜数ミクロンのオーダの(コーティング材料を通る)貫通範囲を有することができる。保護トップコートを貫通する子の能力は、これが直接書込み光学イメージングに対して可能でない場合、保護膜としてクロムまたはアルミニウムのような薄い金属層を使用することを可能にする。
上述したように、拡散バリアとして効果的な(すなわち汚染物の拡散を防ぐ)あらゆる金属(電荷消散または電荷非消散)は、保護トップコートとして用いることができる。
図2(A)を参照すると、基板材料212、クロム含有層214、及びCAR層216はこの分野において従来知られた材料である。例えば、CARは、ポジティブトーンのホトレジスト、例えば、Shipley Co., Inc.によって製造されたAPEXTM、UVIIHSTM、Rjv5TMおよびUV6TM;Clariant Corporationによって製造されたAZ DX1000PTM、DX1200PTMおよびD1300PTM; Arch Chemicalsによって製造されたARCH8010TMおよびARCH8030TM; Tokyo Ohka Kogyo Co., Inc.によって製造されたODUR-1010TMおよびOdtJR-1013TM; Sumitomo Chemicals, Inc. によって製造されたPRK110A5TMである。ネガティブトーンのCARの例は、Shipley Co., Inc.によって製造されたSAL-601TMおよびSAL-603TM; Tokyo Ohka Kogyo Co.によって製造されたEN-009TM;およびSumitomo Chemicals, Inc. によって製造されたNEB22TMである。
CAR層216上に設けられたトップコート材料222は、Clariant Corporationによって製造されたAQUATARIITM、AQUATARIIITMおよびAQUATARIVTM;およびCalifornia州, San Joseにもある日本のJSR Chemical Co.によって製造されたNFC 540TMおよびNFC 620TMである。これらの特別なトップコート材料はARCsとしても機能する。
出願人は、CARのイメージングのための直接書込みプロセス中に安定性を与えるために、トップコート材料のpHができる限り中性である必要があることを発見した。更に、より中性のトップコートの使用によって、イメージング放射への露光前にCARコーティングされた基板のための保存寿命が増大する。pHは、もし、トップコートが電荷消散されるべきであるならば、約5〜約8のpH範囲内にあるように調整されるべきである。もし、電荷消散が必要でないなら、トップコートのpHは、約5〜約8のpH範囲内にあるように調整してもよいが、約6.5〜約7.5の範囲内にあるように調整されるのがより一般的である。
本例は、トップコート材料222のpHの機能としてトップコーティングされたCAR216の安定性の比較を示す。図2(A)に示された制御サンプル構造体230は、上部から下部へ、液体形状で約1.9〜約2.2のpHを示し、約450Å厚のコーティングされ、乾かされた層において約1.40の反射係数を示すトップコート材料の層、AQUATARIIITMを有した。トップコート材料の層の反射係数がホトレジストの反射係数の平方根にほぼ等しいと、これは光が基板の下部で反射し、その後保護層の上部で内部へ戻り、そしてレジスト層の上部は強さがほぼ等しい構造を与える。
AQUATARIIITMは、約450Åの厚さを有する層を製造するために、約1550rpmでスピンコーティングすることによって、空中でスピン乾燥の状態にされた。有害な周囲の状態に対して拡散バリアとして働くことができ、適当な反射係数を有する層を提供する他の材料も同様に使用されることができる。トップコート222の材料がTARCとして働くと、トップコート222は汚染物質の保護及び臨界寸法の均一性を提供しないばかりか、定在波の問題も減少されない。
DX1100TMレジスト層(CAR)216は、AQUATARIIITMトップコート層222の下層であった。特に、化学的に増幅されたDUVホトレジストDX1100は、化学増幅剤(chemical amplifier)としてプロピレングリコールモノエチルエーテルアセテート(PGMEA);PMA;1−メトキシ−2−プロピルアセテート;改質フェノールポリマー及びオニウム塩金属ハライド錯体を有する。このホトレジストは、適用前に液体形状の約6.0のpHを示し、またコーティングされ、乾燥された約5000Å厚で約1.818の反射係数を示す。DX1100TMホトレジストが約5000Åの厚さを有するスピンドライ(遠心脱水)層を生成するためにスピンコーティングを介して与えられた。その後、DX1100TMホトレジストはカルフォルニア州、サンタクララのAPTTMで製造されたAPTTMベークステーションで約7分間、約90℃の温度で焼かれ、大気圧及び周囲条件に曝され、厚さが約10%減少して、約4500Å厚の乾燥したDX1100TMホトレジストを生成した。
KRF17G(AZ/Clariantから利用可能)(図示せず)として識別される有機ARCの470Å厚の層;クロムオキシナイトライドの無機ARC(図示せず)の250Å厚の層;金属マスク材料の750Å厚の層、一般に金属マスク層は、約600から1000Åの厚さを生成するために堆積されたクロムである(このクロムはスパッタリングによって堆積されることができる);及び酸化シリコンを含む基板212がDX1100TMホトレジスト(CAR)層216の下にあった。
本発明の実施例のサンプル構造体は、一般にトップコート材料に関して上述したのと同じであり、この材料は、適用前にpH調節された。特に、AQUATARIIITMトップコートは、DX1100TMホトレジスト層上に適用前にテトラメチルアンモンニアハイドロオキサイドを用いてpHが約7に調節された。中性化されたAQUATARIIITMの反射係数は、約450Å厚の乾燥された層で測定したとき、約1.4であった。AQUATARIIITMのpHを調整するために用いられた塩基は、pH調整後のAQUATARIIITMの反射係数を考慮して選択された、この分野で知られた多くの有機または無機の塩基のいずれか1つであることができる。
制御サンプル及び本発明の実施例のサンプルのいずれもがAQUATARIIITMのトップコート材料222を約4975Åの厚さであったDX1100TMのホトレジスト層216の表面上に約450Åの厚さに与えることによって用意された。AQUATARIIITMのトップコート材料222は、各々の場合スピンドライ状態で設けられた。
その後、トップコートCARの安定性は、制御サンプル及び本発明の実施例のサンプルが与えらえた時間の間製造プラントの空調下で約22℃で耐える余裕があることによって評価され、つづいてAQUATARIIITMのトップコートの除去が行なわれた。保護トップコートの除去について下にあるCARホトレジストの厚み損失が測定された。CARホトレジストの厚み損失の減少は、トップコートが継続(standing)/保存期間中CARホトレジストを保護したことを示した。トップコートは10秒間脱イオン水洗浄によって除去された。脱イオン水は、CARホトレジストの現像に関して、ここで続いて説明されるスピン応用技術を用いて適用された。
図3は、AQUATARIIITMトップコートの適用後、日数の関数としてAQUATARIIITMトップコートの除去についてのDX1100TMのCARホトレジストの厚み損失を示す。上述したように、AQUATARIIITMトップコートの除去中におけるDX1100TMのCARホトレジスト層の厚み損失は、AQUATARIIITMトップコートとDX1100TMのCARホトレジストとの組み合わせの安定性を示した。もし、DX1100TMCARホトレジストの厚みがかなりの程度まで減少するならば、これは、ホトレジストの効果を減少するような方法で、AQUATARIIITMトップコートがDX1100TMCARホトレジストと反応していることを示している。図3に示されるように、ライン302は、AQUATARIIITMトップコートのpHが調節されなかった制御サンプルを示している。一方、線304は、AQUATARIIITMトップコートのpHが適用前に約7に調節された本発明の実施例サンプルを示している。
図3における軸303は、DX1100TMCARホトレジストの厚み損失をnmで示し、軸305は、DX1100TMCARホトレジストの適用と、DX1100TMCARホトレジスト層の表面からのトップコートの除去の間の時間期間を日数で示している。長い時間期間、一般に約20時間が直接書き込みに必要であるので、適用前のAQUATARIIITMトップコート材料の中性化がホトマスクの直接書込みに対して非常に安定したシステムを与えることが容易に明らかである。更に、CARホトレジスト材料を有するpHが調整されたトップコート材料の安定性は、例えば、CARホトレジストがコートされた基板の長期保存を可能にし、AQUATARIIITMトップコート材料の適用とDX1100TMCARホトレジストの現像間の長期保存を可能にする。
再び、図2(A)を参照すると、CAR216とトップコート222との組み合わせの安定性は、パターン化されている基板の前表面にわたって直接書込みパターンのための均一な臨界寸法を得る点で極めて重要である。本例において、ALTATM257nm直接書込みレーザがライン225とスペース227のパターンの書き込みのために用いられた。ここで、ライン225は幅が0.35μインチ(0.88センチ)、ライン間のスペース227は幅が0.35μインチ幅であった。直接書込みイメージング放射223がCAR216にイメージを形成するために与えられた後、基板230は、熱(例えば、熱源226によって示されるが、それに限定されない)を用いて完全なイメージ224を形成を行うために、図2(B)に示されるように焼かれた。
図2(C)を参照すると、中性pHのトップコート222が下にある酸性のイメージ化されたCAR216を現像するために除去された。幾つかの例において、トップコート222がCAR216に対する現像液(剤)によって除去される場合、このステップは、必要ない。AQUATARIIITMトップコート材料は、脱イオン水で10秒間リンスすることによって除去され、続いて、DX1100TMCARホトレジスト層が、図2(D)に示されるように、AZ300MIF現像液でスピン229/スプレー228プロセスを用いて現像された。この現像液は、テトラメチルアンモニウムハイドロオキサイド(TMAH)の2.38重量%溶液である。現像時間は約60秒間であった。ノズルの通路を通してプレートの回転による一様な動揺を許容しながら、遅いスピン速度、約100rpmが基板表面上で最初のぬれに最適である。回転は現像液の供給中回転が維持され、その後、CO2−散布された脱イオン水でリンスする前に、残りの現像液は、約1000rpmの回転により5秒間除去される必要がある。
DX1100TMCARホトレジスト層の現像後、ドライエッチングが行なわれ、図示されていない有機ARC及び無機ARC層を通して下にあるクロム層214まで、ライン225とスペース227を転写した。
基板230は、良好なCDの均一性を維持しながら、ターゲットまでの平均偏差を減少した状態で高密度プラズマにおいてエッチングされた。このプラズマエッチングは、3つのステッププロセス:かすの除去/有機ARC(BARC)の除去;クロムオキシナイトライド(無機ARC)/クロムのエッチング;及びオーバエッチングを用いて誘導結合されたプラズマ(ICP)エッチングツールで行なわれた。
プラズマエッチングシステム、例えば、アプライドマテリアルズ社のTETRA DPSTMプラスエッチングシステム(カルフォルニア州、サンタクララノアプライドマテリアルズ社から利用可能)が優れた結果を得るために使用された。プラズマの発生及び基板のバイアス化のための分離した電力の適用を可能にするプラズマ処理システムは、一般に減結合されたプラズマ源(Decoupling Plasma Source: DPS)と呼ばれる。基板のバイアス化は、プラズマからのイオン及び他の高エネルギー種を基板表面に引き付けるために用いられ、異方性エッチングを可能にする。プラズマの発生用の電力及び基板のバイアス用の電力の分離した適用は、プラズマ密度及び基板の表面に生成される引力の分離した制御を可能にする。
Yan Ye他によって減結合プラズマ源(DPS)を含む装置は、非特許文献1に説明されている。May 19, 1998にHanawa他に与えらえた特許文献1は、プラズマの発生、維持のため及び基板バイアシングのための分離した電力の印加及び制御を可能にするRFプラズマリアクタの一般的な説明を含んでいる。
3つのステップのエッチングプロセスのかすの除去/有機CAR(BARC)除去ステップは、現像後に開いた領域上に残っているあらゆる残留CARホトレジスト層を除去し、開いた領域からの有機ARC(BARC)層(図示せず)を除去する。これは酸素プラズマを用いて行なわれる。酸素プラズマは、プラズ源ガスとして酸素ガスを用いて、下方の電極(その上にレチクル板が載っている)のみに電力を与えることによって作られる。これは、容量性結合されたプラズマ、及びプラズマとレチクル間にDCバイアスを形成する。DCバイアスは、プラズマからの酸素イオンをホトマスク表面へ加速し、その結果イオンは、ホトマスク表面に垂直な方向性と高い運動エネルギーを有してホトマスク表面上に衝突する。
一般に、プロセスは、約3mTorrトル〜約45mTorrトルの圧力でプロセスチャンバ内で行なわれる。行なわれた例の実験において、プロセスチャンバの圧力は、約28mTorrであり、酸素のプラズマ源ガスは、約90sccmの流速で処理チャンバに供給された。13.56MHzの周波数で約125WのRF電力が下方の電極(カソード)に印加された。これは、ホトマスク表面上に酸素プラズマを与えながら、ホトマスクの基板表面上にDCバイアスを与える。レチクル板の温度は、70度の範囲にあるチャンバ壁の温度と共に、25℃の範囲であった。かすの除去/有機ARC(BARC)の除去時間は約30秒であった。かすの除去/有機ARC(BARC)の除去プロセスによるホトレジストの損失は、約750Åであった。
クロムオキシナイトライド(無機ARC)(図示せず)/クロムマスク層216のエッチングは、塩素−酸素−ヘリウムの混合ガスから生成したプラズマを用いて行なわれた。塩素:酸素:ヘリウムの混合ガスの分子比は、約33:117:10であった。全体のガスの流速は約160sccmであった。ICPコイルは、高密度プラズマを発生するために、2MHzで約500Wのエネルギー供給がなされた。下方電極は、基板上に約−50VのDC電圧を発生するために、13.56MHzで約5Wのエネルギー供給がなされた。レチクル板の温度は約25℃であり、一方、プロセスチャンバの壁の温度は約70であった。エッチングの終点(エンドポイント)は、光放射によって検出され、約100秒間で生じた。一般に、高い酸素濃度及び低い圧力は、良好なCDの均一性を保ちながら、高いターゲットまでの平均偏差及び低い選択性を生じた。当業者は、当業者の特定の装置のためにプロセスを最適化することができる。
一般に、クロム層は、全ての開いた領域から残りのクロムをきれいにするためにエンドポイントを越えてオーバエッチングされた。一般に、オーバエッチングステップは、上述したクロムのエッチングプロセスの延長である。長いオーバエッチングステップはターゲットまでの高い平均偏差を生じる。クロムのスポット欠陥密度は、長いオーバエッチングプロセスに対する低い欠陥密度と共に、オーバエッチングの長さによって影響される。
クロム層のエッチングの完了後に、ストリップ及びクリーニングプロセスが行なわれ、クロム層の表面から全ての残留汚染物を除去する。使用されたストリップ化学製品は、約75℃に加熱された過酸化硫黄で、基板プレートの表面上に与えられた。過酸化硫黄による処理の後、基板プレートは、CO2−再イオン化またはCO2−散布された脱イオン水でリンスされる。ストリップ後、基板プレートは産業基準の70:30のH2SO4/H22溶液を用いて酸性クリーニングされ、続いて他の脱イオン水でリンスされた。ストリップステップは、STEAGHAMMATECH, Santa Clara, Californiaから利用可能なSteag ASC 500ウエット化学処理ステーションで行なわれた。
ホトマスクがDX1100TMCARホトレジスト上のAQUATARIIITMの制御サンプルのトップコートを伴う、上述のマスク製造方法を用いて製造されると、書き込みが進むにしたがって、フィーチャの臨界寸法の減少が起こった。200nm(2000Å)の臨界寸法のフィーチャを有するホトマスクに対して、臨界寸法は、書込みプロセス中に約250Åだけ減少した。DX1100TMCARホトレジスト上のAQUATARIIITMのpHが調整されたトップコートを伴って、本発明の方法を用いてホトマスクが製造されると、書き込みプロセス中にフィーチャの臨界寸法に25Å未満の減少があった。
上述の模範的な実施例は本発明の範囲を限定するように意図されない。本開示に照らして、当業者は、請求項の手段に相当するこれらの実施例を拡張することができる。
クロム層114、クロム層114上にあるCAR116の層及びCAR116の上にある保護トップコートを有する基板112を含むホトマスクのブランクを示す。 図1に示された形式のスタート構造物が直接書き込みプロセスを用いてパターン化された場合、本発明の実施例による方法の概念的プロセスのフロー図で、直接書込みの化学作用のある放射が行なわれた、図1に示された形式のスタート構造物の概略断面図を示す。 図1に示された形式のスタート構造物が直接書き込みプロセスを用いてパターン化された場合、本発明の実施例による方法の概念的プロセスのフロー図で、直接書き込み(イメージング)233に続いて、及び露光焼付け後の間の図2(A)に示された構造230の概略断面図を示す。 図1に示された形式のスタート構造物が直接書き込みプロセスを用いてパターン化された場合、本発明の実施例による方法の概念的プロセスのフロー図で、保護トップコート層222の除去に続く、図2(B)に示された構造物230の概略断面図を示す。 図1に示された形式のスタート構造物が直接書き込みプロセスを用いてパターン化された場合、本発明の実施例による方法の概念的プロセスのフロー図で、CAR216の現像後の図2(C)に示された構造物230の概略断面図を示す。 図1に示された形式のスタート構造物が直接書き込みプロセスを用いてパターン化された場合、本発明の実施例による方法の概念的プロセスのフロー図で、CAR216からクロムを有する層214へのパターンの転写後の、図2(D) に示された構造物230の概略断面図を示す。 一般の周囲条件の下でCARコートされた基板の保存日数の関数として、CARの現像に関して、CARの厚さの損失をnmで示すグラフである。ここで、室温は約20℃〜25℃であり、相対湿度は約40〜約45%の範囲にある。ライン302は、保護されないCARコートされた基板を示す。ライン304は、トップコートが約7のpHに中性化された場合、トップコート保護されたCARを示す。

Claims (27)

  1. パターン化されたイメージのホトレジストへの直接書き込み中に、化学的に増幅されたホトレジストの安定性を与える、ホトマスクの製造方法であって、
    a)前記ホトレジストの表面上にpHの調整された拡散バリアの保護トップコートを設けるステップと、
    b)パターン化されたイメージを前記ホトレジストに直接書き込むステップと、
    を有することを特徴とする方法。
  2. 前記pHの調整された拡散バリアの保護トップコートは、pHが適用前に約5〜約8の範囲にあることを特徴とする請求項1に記載の方法。
  3. 前記pHの調整された拡散バリアの保護トップコートは、電荷消散トップコートであることを特徴とする請求項2に記載の方法。
  4. 前記pHの調整された拡散バリアの保護トップコートは、pHが適用前に約6.5〜約7.5の範囲にあることを特徴とする請求項1に記載の方法。
  5. 前記トップコートは、電子ビーム直接書き込みツールと共にしよされることを特徴とする請求項3に記載の方法。
  6. 前記トップコートは、光学直接書き込みツールと共に使用されることを特徴とする請求項4に記載の方法。
  7. 前記光学直接書き込みツールは、連続波レーザの書き込みツールであることを特徴とする請求項4に記載の方法。
  8. 前記連続波レーザの書き込みツールは、244nmまたは257nmの波長で動作することを特徴とする請求項6に記載の方法。
  9. 前記波長は、257nmであることを特徴とする請求項8に記載の方法。
  10. 前記トップコートは、反射防止コーティングとしても機能することを特徴とする請求項1乃至請求項4のいずれか1つに記載の方法。
  11. 前記トップコートは、フルオロアルキルスルフォン酸またはその塩を含むことを特徴とする請求項10に記載の方法。
  12. 前記化学的に増幅されたホトレジストは、オニウム塩金属ハロゲン化物の錯体を含むことを特徴とする請求項1に記載の方法。
  13. 前記化学的に増幅されたホトレジストの層は、前記保護トップコートの適用前にベークされることを特徴とする請求項1に記載の方法。
  14. ホトマスクを製造する方法であって、
    a)基板表面上に金属層を設けるステップと、
    b)前記金属層の上のある位置にホトレジスト層を設けるステップと、
    c)前記ホトレジスト層の上のある位置に、pHが調整された拡散バリアの保護材料の層を設けるステップと、
    d)前記基板上にあるホトレジスト及びpHが調整された拡散バリアの保護材料を放射にさらすステップと、
    を有することを特徴とする方法。
  15. 前記さらすステップは、パターン化されたイメージの直接書き込み形式であることを特徴とする請求項14に記載の方法。
  16. 前記pHが調整された拡散バリアの保護材料の層は、pHが適用前に約5〜約8の範囲にあることを特徴とする請求項14に記載の方法。
  17. 前記pHが調整された拡散バリアの保護材料は、電荷消散であることを特徴とする請求項16に記載の方法。
  18. 前記pHが調整された拡散バリアの保護材料の層は、pHが適用前に約6.5〜約7.5の範囲にあることを特徴とする請求項16に記載の方法。
  19. 前記pHが調整された拡散バリアの保護材料は、電子ビームの直接書き込みツールと共に使用されることを特徴とする請求項17に記載の方法。
  20. 前記pHが調整された拡散バリアの保護材料は、光学直接書き込みツールと共に使用されることを特徴とする請求項18に記載の方法。
  21. 前記光学直接書き込みツールは、連続波のレーザ書込みツールであることを特徴とする請求項20に記載の方法。
  22. 前記連続波レーザの書き込みツールは、244nmまたは257nmの波長で動作することを特徴とする請求項21に記載の方法。
  23. 前記波長は、257nmであることを特徴とする請求項22に記載の方法。
  24. 前記トップコートは、反射防止コーティングとしても機能することを特徴とする請求項14乃至請求項18のいずれか1つに記載の方法。
  25. 前記トップコートは、フルオロアルキルスルフォン酸またはその塩を含むことを特徴とする請求項24に記載の方法。
  26. 前記化学的に増幅されたホトレジストは、オニウム塩金属ハロゲン化物の錯体を含むことを特徴とする請求項14に記載の方法。
  27. 前記化学的に増幅されたホトレジストの層は、前記保護トップコートの適用前にベークされることを特徴とする請求項14に記載の方法。
JP2003548032A 2001-11-21 2002-11-19 ホトレジスト上へのイメージの直接書き込み中にホトレジストの安定性を拡大する方法 Pending JP2005510761A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/990,684 US6727047B2 (en) 1999-04-16 2001-11-21 Method of extending the stability of a photoresist during direct writing of an image upon the photoresist
PCT/US2002/037237 WO2003046658A1 (en) 2001-11-21 2002-11-19 Method of extending the stability of a photoresist during direct writing of an image upon the photoresist

Publications (1)

Publication Number Publication Date
JP2005510761A true JP2005510761A (ja) 2005-04-21

Family

ID=25536423

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003548032A Pending JP2005510761A (ja) 2001-11-21 2002-11-19 ホトレジスト上へのイメージの直接書き込み中にホトレジストの安定性を拡大する方法

Country Status (6)

Country Link
US (2) US6727047B2 (ja)
EP (1) EP1459131A1 (ja)
JP (1) JP2005510761A (ja)
KR (1) KR20040054798A (ja)
TW (1) TWI293181B (ja)
WO (1) WO2003046658A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008098681A (ja) * 2003-07-28 2008-04-24 Asml Netherlands Bv リソグラフィ装置、デバイス製造方法、及び基板
US7746445B2 (en) 2003-07-28 2010-06-29 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and a substrate

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6727047B2 (en) * 1999-04-16 2004-04-27 Applied Materials, Inc. Method of extending the stability of a photoresist during direct writing of an image upon the photoresist
US6969569B2 (en) * 1999-04-16 2005-11-29 Applied Materials, Inc. Method of extending the stability of a photoresist during direct writing of an image
SG143944A1 (en) 2001-02-19 2008-07-29 Semiconductor Energy Lab Light emitting device and method of manufacturing the same
KR20030057067A (ko) * 2001-12-28 2003-07-04 엘지.필립스 엘시디 주식회사 인쇄방식을 이용한 패턴형성방법
KR100476935B1 (ko) * 2002-10-14 2005-03-16 삼성전자주식회사 식각공정의 임계치수 제어방법
US7147973B2 (en) * 2003-03-19 2006-12-12 Micron Technology, Inc. Method to recover the exposure sensitivity of chemically amplified resins from post coat delay effect
US7521000B2 (en) * 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
CN100407370C (zh) * 2003-12-11 2008-07-30 联华电子股份有限公司 浸没式微影制程以及应用于浸没式微影制程的结构
EP1564592A1 (en) * 2004-02-17 2005-08-17 Freescale Semiconductor, Inc. Protection of resist for immersion lithography technique
DE102004009173A1 (de) * 2004-02-25 2005-09-15 Infineon Technologies Ag Verfahren zur Kompensation der Verkürzung von Linienenden bei der Bildung von Linien auf einem Wafer
US7384878B2 (en) * 2004-05-20 2008-06-10 International Business Machines Corporation Method for applying a layer to a hydrophobic surface
US7799514B1 (en) * 2004-10-01 2010-09-21 Globalfoundries Inc Surface treatment with an acidic composition to prevent substrate and environmental contamination
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US7461446B2 (en) * 2005-10-24 2008-12-09 Hitachi Global Storage Technologies Netherlands B.V. Method for repairing photoresist layer defects using index matching overcoat
JP2008071974A (ja) * 2006-09-14 2008-03-27 Nec Electronics Corp パターン形成方法およびこれを用いた半導体装置の製造方法
KR100944846B1 (ko) * 2006-10-30 2010-03-04 어플라이드 머티어리얼스, 인코포레이티드 마스크 에칭 프로세스
US8753974B2 (en) * 2007-06-20 2014-06-17 Micron Technology, Inc. Charge dissipation of cavities
KR101830327B1 (ko) 2012-03-08 2018-02-21 삼성전자주식회사 Euv용 패턴 및 duv용 패턴을 가진 포토마스크
US8906583B2 (en) * 2012-12-20 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked mask
JP6401166B2 (ja) * 2013-08-28 2018-10-03 Hoya株式会社 マスクブランク、マスクブランクの製造方法および転写用マスクの製造方法
JP6455979B2 (ja) * 2014-03-18 2019-01-23 Hoya株式会社 レジスト層付ブランク、その製造方法、マスクブランクおよびインプリント用モールドブランク、ならびに転写用マスク、インプリント用モールドおよびそれらの製造方法
US10347486B1 (en) * 2017-12-19 2019-07-09 International Business Machines Corporation Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (EUV) lithography
CN111487845A (zh) * 2019-01-29 2020-08-04 山东浪潮华光光电子股份有限公司 一种可以直接剥离的led管芯电极掩模图形的制作方法
US12002675B2 (en) 2020-06-18 2024-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist layer outgassing prevention
CN116263564A (zh) * 2021-12-13 2023-06-16 长鑫存储技术有限公司 光刻胶图案的形成方法和光刻胶结构

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02103547A (ja) 1988-10-13 1990-04-16 Fujitsu Ltd 導電性層の形成方法
JPH04204848A (ja) 1990-11-30 1992-07-27 Matsushita Electric Ind Co Ltd 微細パターン形成方法
DE4040117C2 (de) 1990-12-13 1994-02-17 Fotochem Werke Gmbh Stahlenempfindliches Material für die Elektronenstrahl- und Röntgenstrahllithographie und Verfahren zur Trockenentwicklung des Materials
US5260349A (en) * 1991-01-04 1993-11-09 Polyset Corporation Electron beam curable epoxy compositions
DE4117127A1 (de) 1991-05-25 1992-11-26 Basf Ag Lichtempfindliche aufzeichnungselemente, verfahren zu ihrer herstellung und weiterverarbeitung sowie geraete fuer die durchfuehrung dieser verfahren
JPH05226238A (ja) * 1991-10-31 1993-09-03 Internatl Business Mach Corp <Ibm> E−ビームレジスト用の塩基現像可能な放電トップ層
SE469291B (sv) 1991-10-31 1993-06-14 Piab Ab Ejektorarrangemang innefattande minst tvaa tryckluftsdrivna ejektorer samt foerfarande foer att med minst tvaa tryckluftsdrivna ejektorer aastadkomma ett oenskat undertryck paa kortast moejliga tid och med minsta energifoerbrukning
DE69322946T2 (de) 1992-11-03 1999-08-12 International Business Machines Corp., Armonk, N.Y. Photolackzusammensetzung
JP2654339B2 (ja) 1992-11-24 1997-09-17 インターナショナル・ビジネス・マシーンズ・コーポレイション 感光性レジスト組成物及び基板上にレジスト像を形成する方法
JP3814830B2 (ja) 1993-05-28 2006-08-30 昭和電工株式会社 帯電防止材料、それを用いる帯電防止方法及び観察または検査方法、及び帯電が防止された物品
US6159665A (en) 1993-06-17 2000-12-12 Lucent Technologies Inc. Processes using photosensitive materials including a nitro benzyl ester photoacid generator
CA2131507C (en) 1993-11-08 1999-11-02 Edwin A. Chandross Resist materials and related processes
US5866304A (en) 1993-12-28 1999-02-02 Nec Corporation Photosensitive resin and method for patterning by use of the same
KR100380546B1 (ko) 1994-02-24 2003-06-25 가부시끼가이샤 히다치 세이사꾸쇼 반도체집적회로장치의제조방법
EP0781424B1 (de) 1994-09-12 1998-11-18 Siemens Aktiengesellschaft Photolithographische strukturerzeugung
JPH10120968A (ja) 1996-08-28 1998-05-12 Hitachi Chem Co Ltd レジスト保護膜用樹脂組成物、レジスト保護膜及びこれを用いたパターン製造法
JPH10261574A (ja) 1997-03-19 1998-09-29 Fujitsu Ltd 半導体装置の製造方法
KR100557368B1 (ko) 1998-01-16 2006-03-10 제이에스알 가부시끼가이샤 감방사선성 수지 조성물
JP2000089471A (ja) 1998-09-14 2000-03-31 Sharp Corp レジストパターンの形成方法
JP2000113807A (ja) 1998-10-07 2000-04-21 Yamaha Corp 電界放射型素子の製造方法
US6258514B1 (en) * 1999-03-10 2001-07-10 Lsi Logic Corporation Top surface imaging technique using a topcoat delivery system
US6969569B2 (en) * 1999-04-16 2005-11-29 Applied Materials, Inc. Method of extending the stability of a photoresist during direct writing of an image
US20010044077A1 (en) 1999-04-16 2001-11-22 Zoilo Chen Ho Tan Stabilization of chemically amplified resist coating
US6727047B2 (en) * 1999-04-16 2004-04-27 Applied Materials, Inc. Method of extending the stability of a photoresist during direct writing of an image upon the photoresist
US6165682A (en) * 1999-09-22 2000-12-26 Arch Specialty Chemicals, Inc. Radiation sensitive copolymers, photoresist compositions thereof and deep UV bilayer systems thereof
US6582861B2 (en) 2001-03-16 2003-06-24 Applied Materials, Inc. Method of reshaping a patterned organic photoresist surface
US6605394B2 (en) 2001-05-03 2003-08-12 Applied Materials, Inc. Organic bottom antireflective coating for high performance mask making using optical imaging
US6703169B2 (en) 2001-07-23 2004-03-09 Applied Materials, Inc. Method of preparing optically imaged high performance photomasks

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008098681A (ja) * 2003-07-28 2008-04-24 Asml Netherlands Bv リソグラフィ装置、デバイス製造方法、及び基板
US7746445B2 (en) 2003-07-28 2010-06-29 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and a substrate

Also Published As

Publication number Publication date
TWI293181B (en) 2008-02-01
US20020076626A1 (en) 2002-06-20
WO2003046658A1 (en) 2003-06-05
US7135256B2 (en) 2006-11-14
EP1459131A1 (en) 2004-09-22
US6727047B2 (en) 2004-04-27
TW200300568A (en) 2003-06-01
US20050191562A1 (en) 2005-09-01
KR20040054798A (ko) 2004-06-25

Similar Documents

Publication Publication Date Title
JP2005510761A (ja) ホトレジスト上へのイメージの直接書き込み中にホトレジストの安定性を拡大する方法
US6703169B2 (en) Method of preparing optically imaged high performance photomasks
JP2007525714A (ja) イメージ直接書込み中のフォトレジスト安定性を延長する方法
US6645677B1 (en) Dual layer reticle blank and manufacturing process
US6107009A (en) Photoresist developer and method
US6605394B2 (en) Organic bottom antireflective coating for high performance mask making using optical imaging
JP4996667B2 (ja) フォトマスク加工及び半導体処理において使用する増感され化学的に増幅されたフォトレジスト
US6582861B2 (en) Method of reshaping a patterned organic photoresist surface
JP2004534969A (ja) ホトレジストのための上部薄膜を使用する方法
US20040063003A1 (en) Method of producing a patterned photoresist used to prepare high performance photomasks
US20050221019A1 (en) Method of improving the uniformity of a patterned resist on a photomask
US7468227B2 (en) Method of reducing the average process bias during production of a reticle
JP3722597B2 (ja) 水溶性ポリマーの塗布方法及びパターン形成方法
Irmscher et al. Resist technologies for ion projection lithography (IPL) stencil maskmaking
JP2001068453A (ja) 有機膜のエッチング方法及び半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051118

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081020

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081104

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090202

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090209

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090706