JP2007525714A - イメージ直接書込み中のフォトレジスト安定性を延長する方法 - Google Patents

イメージ直接書込み中のフォトレジスト安定性を延長する方法 Download PDF

Info

Publication number
JP2007525714A
JP2007525714A JP2007501033A JP2007501033A JP2007525714A JP 2007525714 A JP2007525714 A JP 2007525714A JP 2007501033 A JP2007501033 A JP 2007501033A JP 2007501033 A JP2007501033 A JP 2007501033A JP 2007525714 A JP2007525714 A JP 2007525714A
Authority
JP
Japan
Prior art keywords
photoresist
topcoat
layer
car
adjusted
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007501033A
Other languages
English (en)
Inventor
メルビン ワレン モントゴメリー
セシリア アネット モントゴメリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2007525714A publication Critical patent/JP2007525714A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/092Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by backside coating or layers, by lubricating-slip layers or means, by oxygen barrier layers or by stripping-release layers or means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/093Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antistatic means, e.g. for charge depletion
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Paints Or Removers (AREA)

Abstract

【課題】フォトマスク製造において、化学増幅フォトレジストの環境過敏性を、保護的ではあるが透過性のある材料の薄いコーティング(トップコート)でフォトレジストを被覆することで除去、又は少なくとも実質的に低減する。
【解決手段】フォトマスクパターンの直接書込みに必要とされる典型的には約20時間という長時間にわたって、向上した安定性を提供するために、保護トップコート材料のpHは可能な限り中性に調整され、その他の処理変数要件に依存する。例えば、約5〜約8にpHを調整するのが特に有用である。保護トップコートのpHを調整した場合、直接書込み中の化学増幅フォトレジストの安定性が向上するだけでなく、pHを調整したトップコートをその上に被覆したフォトレジスト被覆基板は、悪影響を受けることなく、イメージング前により長期間にわたって保存することが可能である。

Description

関連する出願の参照
本出願は、1999年4月16日に出願され、放棄された米国特許出願第09/293713号の一部継続出願である2001年7月12日に出願され、放棄された米国特許出願第09/904454号の一部継続出願であり、現在許可された状態で係属中の2001年11月21日に出願された米国特許出願第09/990684号の一部継続出願である。更に関連する出願としての現在も係属中の米国特許出願第10/651549号は、米国特許出願第09/990684号の一部継続出願である。
発明の分野
一般に、本発明はしばしばレチクルと呼ばれるフォトマスクの製造方法に関する。特に、化学増幅フォトレジストをレーザー生成深紫外線(DUV)又は電子ビーム放射線に露光させる直接書込み法を用いてフォトマスクを製造する。
背景技術の簡単な説明
フォトレジスト組成物は、小型化電子部品を製造するためのマイクロリソグラフ法、例えば半導体素子構造の製造に用いられる。典型的には、小型化した電子素子構造パターンは半導体基板上に直接書込むのではなく、半導体基板上のパターニングしたマスク層からパターンを転写することによって形成される。パターニングしたマスク層を通して一括で処理するほうが時間的に効率が良いためである。半導体素子の処理に関しては、パターニングしたマスク層はパターニングしたフォトレジスト層又はパターニングした硬質マスク層(典型的には無機材料又は高温有機材料)であり、パターニングされる半導体素子構造の表面上に位置する。典型的には、パターニングしたマスク層はフォトマスク又はレチクルとしばしば称されるところの別のマスクを使用して形成される。レチクルは典型的にはガラス又は石英板上に堆積された金属含有材料(クロム含有、モリブデン含有、又はタングステン含有材料等)の薄層である。レチクルは、半導体構造上のマスク層上に再形成される個々の素子構造パターンのハードコピーを含むようにパターニングされる。
レチクルは様々な技法によって形成することができ、レチクル上にパターンを書込む方法によって異なる。半導体構造に対する今日の寸法要件により、書き込み方法は一般的にはレーザー又は電子ビームを用いたものである。レチクルを形成するための代表的な工程には、ガラス又は石英板を提供するステップ、ガラス又は石英表面上にクロム含有層を堆積するステップ、クロム含有層上に反射防止膜(ARC)を堆積するステップ、ARC層上にフォトレジスト層を適用するステップ、フォトレジスト層上に直接書き込んで所望のパターンを形成するステップ、フォトレジスト層にパターンを現像するステップ、パターンをクロム層にまでエッチングするステップ、残留するフォトレジスト層を除去するステップを含む。書き込み用の放射線が接触したフォトレジスト層の領域が現像中に除去しやすくなる場合、このフォトレジストはポジ型フォトレジストと称される。書き込み用の放射線が接触したフォトレジスト層の領域が現像中に除去し難くなる場合、このフォトレジストはネガ型フォトレジストと称される。改良型のレチクル製造材料は、例えばクロム、酸化クロム、酸窒化クロム、モリブデン、ケイ化モリブデン、及びケイ化モリブデンタングステンから選択された材料の層の組み合わせを含むことが多い。
前述したように、レチクル又はフォトマスクはパターンをその下のフォトレジストに転写するために使用され、レチクルの開放領域を通ってフォトレジスト表面に達する一括放射線に曝露される。その後、フォトレジストを現像し、パターンをその下の半導体素子構造に転写するのに使用する。一般的には0.3Φm未満という今日のパターン寸法要件により、フォトレジストは典型的には化学増幅フォトレジストである。レチクル自体を形成する際、化学増幅DUVフォトレジストはレーザー生成深紫外線や直接書込み電子ビーム書き込みツールと組み合わせて用いられてきた。連続波レーザー生成DUV直接書込みツールの一例が、オレゴン州ヒルズボローにあるETECシステム社からアルタ(ALTA)の登録商標名で市販されている。電子ビーム直接書込みツールの一例が、カリフォルニア州ヘイワードのETECシステム社からミベス(商標名、MEBES)として市販されている。
フォトマスク/レチクルの形成は、レチクルの表面領域全体にわたるパターン線幅の均一性を含め、レチクルに形成するパターンの線幅に影響を及ぼす多くの相互関連ステップを伴う複雑な工程である。レチクルの製造工程における様々なステップに変更を加えることにより、プロセスウィンドウを含む、製造工程自体の再現性が変わってしまう場合がある。プロセスウインドウとは、生成物に悪影響を与えることなく変えることのできる、作業条件の許容幅のことである。プロセスウインドウを大きくすればするほど、生成物に悪影響を与えることなく作業条件をより大きく変更することができる。よって、プロセスウインドウは大きいことが望ましく、これはプロセスウィンドウが大きいことにより、通常、仕様通りの生成物が生産される歩留まりが高くなるからである。
フォトマスク製造のためのプロセスウィンドウを著しく低下させる処理変数の1つが、その表面にフォトレジストを施したレチクル基板の寿命である。上述したように、フィーチャ寸法が0.3Φm以下のパターンイメージングに使用するフォトレジストは、典型的には化学増幅フォトレジストである。化学増幅フォトレジスト(CAR)は、概して、紫外線、レーザー光、X線、又は電子ビームを照射した領域で酸を生成するように設計されている。照射した領域ではCARにイメージが形成され、このイメージが続いてパターンに現像される。生成された酸により、CARの照射部が塩基性の現像溶液に可溶となる。主に257nm、248nm、193nm深紫外線(DUV)リソグラフィー用に、様々な化学増幅レジストが市販されている。これらのCARの多くが、電子ビーム光リソグラフィーに使用されている。
フォトレジスト、特にCARがある特定の環境汚染物質に過敏であるためマスク形成での使用には問題があり、しばしば特殊な取り扱いを要することが一般に知られている。基板上に適用後1時間で(又は一時間未満)で、CARのリソグラフィー性能が低下することが報告されている。これを防止するために出願人はCAR上に施す保護コーティングを開発し、イメージング/パターニング放射線に露光させる前の、CARを施したフォトマスク基板の保存期間を延長するための手段とした。しかしながら、保護コーティングを現像した後、直接書込みイメージング処理中におけるパターニングの再現性が悪いことがわかった。フォトマスクの直接書込みには約20時間かかり、その20時間の間フォトレジストは影響を受け続け、パターニングされたフィーチャの線幅はフォトレジストへの直接書込みが進行するにつれて小さくなった。本発明は、フォトマスクをイメージングするための直接書込み中、いかにして均一で再現性のあるパターン線幅をCARで維持するかという問題を解決するものである。
発明の概要
フォトマスクの製造においては、保護的ではあるが透過性のある材料の薄いコーティング(トップコート)でフォトレジストを被覆することによって、化学増幅フォトレジストの環境過敏性を排除、もしくは少なくとも実質的に低減する。トップコート材料の屈折率及び厚みがフォトレジストの屈折率と厚みにマッチするものであることが特に有用である。代表式は、t=λ/4nであり、tは厚さ、λはトップコート又はフォトレジストを通過する光の波長、nは屈折率を表す。深紫外線による直接書込みイメージングにおいては、典型的には、化学増幅フォトレジストの厚さは約5000Δの範囲にあり、屈折率は約1.79〜約1.83である。その結果、トップコートの厚さは典型的には約650Δ〜約675Δであり、トップコートの屈折率は約1.32〜約1.45である。概して、トップコートの屈折率は化学増幅フォトレジストの屈折率の平方根である。
フォトマスクパターンの直接書込みに必要とされる、典型的には約20時間という長時間にわたってより良い安定性を提供するために、トップコートのpHは可能な限り中性になるよう調節され、その他の処理変数要件に依存する。トップコートのpHが約6.5〜約7.5である場合もあるが、通常は約5〜約8であり、トップコートを電荷消散性(トップコートを導電性にする電子ビームリソグラフィーの場合等)にする場合は約5〜約8にする必要性があることが多い。トップコートの導電性は、数週間に及ぶこともある取り扱い保管中、その下のフォトレジスト全般の寿命を維持するのに役立つ。更に、導電性は直接書込み中、フォトレジストに安定性を与えるのに役立つ。トップコートのpHが約5〜約8になるように調節することでフォトレジスト被覆基板の保管寿命が向上し、光又は電子ビーム放射線のいずれかによるフォトレジストの直接書込みイメージング間の安定性が著しく改善され、その結果フォトレジストの(線幅及び完全性という点での)リソグラフ性能が実質的に向上する。
フォトレジストへの直接書込みが、事実上光学的なツールを用いて行なわれるケースがある。一例としては、DUV放射線を発生する直接書込み連続波レーザーが挙げられる。この例においては、pHが約6.5〜約7.5である、より中性に近いトップコートを用いることができる。例えば、「光学イメージングを用いる高性能マスク製造用の有機下層反射防止膜」の名称で2001年5月3日に出願され、本発明の譲受人に譲渡された米国特許出願第09/848859号は、オレゴン州ヒルスボローにあるETECシステム社から入手可能な244nm又は257nmのマスク書込みレーザーである直接パターン書込みツールを用いたレチクル製造工程について記載している。フォトマスクパターンの275nmでの直接書込みにおける処理変数については、「光学的にイメージングされた高性能フォトマスク製造方法」の名称で2001年7月23日に出願され、本発明の譲受人に譲渡された米国特許出願第09/912116号において更に詳しく述べられている。これらの出願は双方ともに、参照することによって本願に組み込まれるものとする。
更に近年では、ETECシステム社が直接パターン書込みツールを開発しており、これは198nmでの直接書込み連続波レーザーであり、193nmの化学増幅フォトレジストと組み合わせて使用することが可能である。
上述のpHを調節した保護トップコートをCAR上に適用することにより、実際に露光させる何ヶ月も前に未露光のフォトレジスト被覆基板(ウェハ又はレチクル)を準備し、基板を長期間にわたってパターニング(イメージング)ツール内に維持することが可能である。これはパターンを書き込む直前にフォトレジストを基板に適用する必要がある非保護CARを使用した場合と対照的であり、非保護CARの場合、フォトマスク全体に直接書込みをしている間、一貫した線幅を提供することができない。
発明の詳細な説明
詳細な説明への序文として、本願の明細書及び請求項に用いられているように、単数形は特に断らない限り複数形を含むことに留意すべきである。
本願は、1999年4月16日に出願され、現在も係属中の米国特許出願第09/293713号の一部継続出願であり現在も係属中の2001年7月12日に出願された米国特許出願第09/904454号の一部継続出願である。これら2つの出願の主題は引用により本願に組み込まれるものとする。
図1は、本発明の一態様を図示するために用いる構造体130の簡略断面図である。この構造体130は、下から上へ、フォトマスクの場合、典型的には石英、フッ化石英、ホウケイ酸ガラス、又はソーダ石灰ガラスから選択される基板112、クロム含有層114、DUVフォトレジスト層(CAR)116、及び保護トップコート122を含む。この構造体は幾分簡略化したものである。それというのもパターニング前のフォトマスク基板の大半が反射防止膜(ARC)を含むからである。ARCはクロム含有層114とCARフォトレジスト層との間にあってもよく、またフォトレジスト層116とトップコート112との間であってもよい。簡略化のために、これらのARCは図示していない。フォトレジスト層116とトップコート122との間でのARCの使用に関しては、保護トップコート122自体がARCであってもよい。本出願の態様においては、これが最も効率的な構造であることから、トップコート122はARCである。
クロム含有層114とCARフォトレジスト層116との間にARCがある場合、このARCは一般に下層ARC又はBARCと呼ばれる。このようなBARCは典型的には無機ARC、例えば酸窒化クロム、窒化チタン、窒化ケイ素、又はケイ化モリブデン206である。 「光学イメージングを用いた高性能マスク製造用の有機下層反射防止膜」の名称で2001年5月3日に出願され、本発明の譲受人に譲渡され、参照することで本願に上記で組み込んだ米国特許出願第09/848859号に記載されるように、無機ARC上に更に有機ARCを被覆してもよい。あるいは、BARCは米国特許出願第09/848589号に記載されたタイプの有機ARCであってもよく、無機BARCがなく、有機ARCを単独で使用する。BARCが存在することでクロム含有層に後に形成するパターンフィーチャの線幅は影響を受けるが、本発明はパターンフィーチャの線幅に影響を与えるその他のプロセス要因に関したものであるため、BARCについてはここでは詳細に説明しない。開示を読めば、当業者には本発明の主な態様がトップコート122と、それがフォトマスク全体にわたって均一な線幅の所望のパターンフィーチャを有する、再現性のあるフォトマスクパターンを得るのに役立つことに関してのものであることが理解できる。
線幅の均一性がフォトマスク表面全体にわたって維持された、0.3Φm以下の線幅のフィーチャを提供するフォトマスクを有することが大変望ましい。これを達成するためには、フォトマスクの表面全体にわたって均一にイメージング・現像されるパターン化フォトレジストの形成方法が必要である。フォトレジストの現像したパターンプロファイルの表面変形は最小限である必要があり、この表面変形には、フォトレジストの上表面でフォトレジスト材料の酸性物質が中和されるような環境条件にフォトレジストを曝した場合に上部で起こるt−トッピングが含まれる。図2A〜図2Eは、本発明を理解するのに有益な、一連の処理ステップを示したものである。
特に、図2Aは、下から上へと、溶融シリカ層212、クロム含有層214、CAR216及び保護トップコート222を含む構造体230を示す。構造体230を直接書込み法で化学線に露光させることで、化学線は保護トップコート222を経由してCAR216に達し、CAR216にイメージ224を形成する。以下の実施例に記載されるように、出願人は深紫外線CARフォトレジストをイメージングするのに244又は257nmでパターンを直接書込む光イメージングシステムを使用した。前述したように、保護トップコート222の屈折率がCAR216の屈折率とマッチしていることが重要であり、典型的には保護トップコート層222の屈折率は化学増幅フォトレジスト層216の屈折率の平方根にほぼ等しい。
図2Bに示されるように、CAR216のイメージング後、構造体230を環境条件下において特定の温度範囲内の温度で焼き付けてCAR内での完全な化学反応を促進させることによりイメージ224がCAR216の厚み全体にわたって転写され、引き続いてパターンを適切に現像することができる。図2Bに図示の焼付けステップは、後露光焼付け又はPEBと呼ばれる。図2Bにおいては、熱源226をCAR216に適用することで、CAR216内でのイメージングを十分に促進させている。
図2Bの焼付けステップに続いて、図2Cに図示の任意のステップでトップコート222を除去することができる。CARフォトレジスト216を現像するのに用いる処理でトップコート222も除去できるのであれば、このステップは不要である。本発明の態様においては、図2Cに図示されるように、CARフォトレジスト216を現像するに先立ち、脱イオン水ですすぐことでトップコート222を除去をしている。
図2Dに示されるように、PEBに引き続いて(及び、上述の任意によるトップコート222の除去に引き続いて) 、CAR216におけるイメージ224を現像する。典型的には構造体230を現像液228に曝露することで現像し、現像液は通常、構造体230を軸を中心として回転229させながらCAR216の上面217に適用する。構造体230を回転させることにより現像液228が基板230表面全体に分散され、パターン現像工程で除去される溶解したCAR材料を取り去るのに役立つ。放射線露光済みのイメージ224を除去することで開口部225が形成され、非照射領域227がそのまま残される
CAR216をパターンに現像した後、パターニングしたフォトレジストを整えて開口部上の残留レジストかすを除去し、レジスト表面から欠陥を取り除く。この整備ステップは図示されていないが、プラズマドライエッチングを用いて通常行われるものである。この整備処理については、2003年6月24日に発行され、本発明の譲受人に譲渡された、バクスボー(Buxbaum)らによる米国特許第6582861号に詳細に記載されており、引用により本願に組み込まれるものとする。
図2Eは、CAR216からその下のクロム含有層214へのパターン転写を示す。典型的には、このパターン転写は異方性プラズマドライエッチング技術を用いて行なわれる。図2Eには、パターンをCAR216から溶融シリカ基板212の上面213へとクロム含有層214を介して転写するプラズマエッチング液232が図示されている。
I. 実施態様においてCARをイメージングするために用いる装置
本明細書で以下に記載の方法を実施するために用いられるイメージングシステムは新規な装置である直接書込み連続波レーザーツールであり、257nm、244nm又は198nmでのフォトマスク書込みに使用できる。このツールは、オレゴン州ヒルスボローにあるETECシステム社から入手可能である。アルタ(ALTA)の登録商標名で市販のこの直接書込み連続波レーザーツールは、ラスター走査書込みシステムである。このシステムは、回転して各面で32のレーザー光線を反射し、基板全体にわたってレーザー光線の束(ブラシと呼ばれる)を走査する多角形を含む。257nmアルタ(商標名)マスク書込みレーザーツールの書込み品質仕様には、許容範囲のプロセスウィンドウを有する、約360nm〜400nm のレチクル上での最小フィーチャ寸法が含まれる。これにより、素子製造者は約90nm〜約130nmのフィーチャをウェハ上に形成することが可能となる。198nmアルタ(商標名)マスク書込みレーザーツールの書込み品質仕様には、許容範囲のプロセスウィンドウを有する、約260nm のレチクル上での最小フィーチャ寸法が含まれる。これにより、素子製造者は約65nmのフィーチャをウェハ上に形成することが可能となる。アルタ(商標名)マスク書込みレーザーツールにより、ユーザーは進歩したフィーチャ線幅(CD)制御、直線性、及び均一性を得られる。しかしながら、製造したマスクの潜在的な性能を完全に引き出せるかどうかは、マスクの製造中に適切な処理材料と処理方法を用いるかどうかにかかっている。257nmレーザーマスク書込みツール用の最大DUV出力は、約1500mWである。継続現像が進行中、198nmレーザーマスク書込みツールに使用する通常出力は約150mW〜約200mWである。200mWだと、レチクル表面では約40mJ/cmである。光学コーティングを保護し、レーザーの寿命を延ばすために、レーザーは低出力レベルで使用する。アルタ(商標名)直接書込み連続波レーザーの光学アーキテクチャに関する情報は、製造業者から得ることができる。
II. 保護トップコートと組み合わせてCARを使用するフォトマスクのパターニング方法
本発明の方法は、フォトマスクのパターニング方法全てに有益なものである。以下の実施例に記載の方法は、パターンをフォトマスクに転写するのに化学増幅DUVフォトレジストを用いる場合の、フォトマスクの光学パターニング中に使用するトップコートに関するものである。有害な環境成分が化学増幅フォトレジストに影響を及ぼすのを防止するための拡散バリア層として機能する保護トップコートは、以下の実施例における上部ARC(TARC)を中和したものであった。特に、保護トップコートは、ニュージャージー州ソマービルのクラリアント社から市販のAZアクアタールIII(AZAQUATARIII(商標名))であった。AZアクアタールIII(商標名)は、1重量%未満のフルオロアルキルスルフォン酸、5重量%未満のフルオロアルキルスルフォン酸塩、及び95重量%を超える水を含む。実施例に記載の化学増幅DUVフォトレジスト(CAR)は、アクリル基をベースとした化学増幅フォトレジストであった。しかしながら、本発明の範囲はこの特定の種類の保護トップコート又は化学増幅フォトレジスト群に限定することを意図するものではない。
本方法を電子ビームイメージングシステムに適用する場合、保護トップコート材料は電荷消散性のものであってもよい。電荷消散性トップコートは容易に適用可能な適切な導電性材料、例えば、ポリアニリンのような最初は液状(乾燥する)の有機導電性材料の薄層であってもよい。一般に知られている電荷消散性コーティングとしては、ニューヨーク州パーチャスにあるIBMから市販のパナカス(PANAQUAS(商標名))、大阪の日東化学から入手可能なアクアセーブ(AQUASAVE(商標名))が挙げられる。露光電子ビームは、典型的には10000ボルトより高い加速電圧で使用され、それによってレジスト表面から約1ミクロン〜数ミクロン下まで貫通させることができる(コーティング材料を通過する)。保護トップコートを貫通することが可能なことから、クロム又はアルミニウムの薄い金属層を保護コーティングとして使用することが可能となり、これは直接書込み光学イメージングでは不可能である。
上述したように、拡散バリアとして効果的な(すなわち汚染物質の拡散を防ぐ)あらゆる適切な金属(電荷消散性又は電荷非消散性)を保護トップコートとして用いることができる。
図2Aを参照するが、基板材料212、クロム含有層214、及びCAR層216は当分野において従来から知られた材料から成る。例えば、これに限定されるものではないが、CARはポジティブトーンのフォトレジスト、例えばシプリー社製のエイペックス(APEX(商標名))、UVIIHS(商標名)、Rjv5(商標名)及びUV6(商標名)、クラリアント社製のAZDX1000P(商標名)、DX1200P(商標名)、DX1300P(商標名)、AX1120P(商標名)、AX1050−HS(商標名)、アークケミカル社製のアーク8010(ARCH8010(商標名))及びアーク8030(ARCH8030(商標名))、東京応化工業製のオデュール−1010(ODUR−1010(商標名))及びODtJR−1013(商標名)、住友化学製のPRK110A5(商標名)、ペック130(PEK130(商標名))、日本合成ゴム社製の(JSR社)のJSRAT237(商標名)である。ネガティブトーンのCARの例は、シプリー社製のSAL−601(商標名)及びSAL−603(商標名)、東京応化工業製のEN−009PG(商標名)、及び住友化学製のNEB22(商標名)である。新しく改良を加えたCARレジスト材料が常に開発されており、本発明の使用は上記の材料に限定されるものではない。
CAR層216上に適用したトップコート材料222は、クラリアント社製のアクアタールII(商標名)、アクアタールIII(商標名)及びアクアタールIV(商標名)、カリフォルニア州サンノゼにもある日本のJSRケミカル社製のNFC540(商標名)及びNFC620(商標名)等の従来材料であってもよい。これらの特定のトップコート材料はARCとしても機能する。
出願人は、直接書込み処理をしてCARをイメージングする際に安定性を実現するにはトップコート材料のpHができる限り中性である必要があることを発見した。更に、より中性に近いトップコートを使用することによって、イメージング放射線に露光させる前のCAR被覆基板の保管寿命が延びる。トップコートを電荷消散性のものにする場合、pHを約5〜約8の範囲内に調整する必要がある。電荷消散性が必要でない場合、トップコートのpHを約5〜約8に調整してもよいが、約6.5〜約7.5に調整するのがより一般的である。
本実施例は、トップコート材料222のpHの関数としての、トップコートを施したCAR216の安定性を比較したものである。図2Aに示したコントロールサンプル構造体230は、上から下へ、アクアタールIII(商標名)のトップコート材料層を含み、pHは液状で約1.9〜約2.2、厚みが約450Δの乾燥したコート層での屈折率が約1.40である。トップコート材料層の屈折率がフォトレジストの屈折率の平方根にほぼ等しい場合、基板下部で反射して保護層とレジスト層の上部で内部に跳ね返る光の強度が概して等しい構造が得られる。空気中でスピン乾燥するまでアクアタールIII(商標名)を約1550rpmでスピンコーティングし、厚さ約450Δの層を形成した。有害な環境条件に対して拡散バリアとして機能し、適当な屈折率を有する層を提供できるその他の材料も同様に使用できる。トップコート222の材料がTARC(アクアタールIIIの場合等)として機能する場合、トップコート222は汚染物質からの保護及び線幅(CD)の均一性を実現するだけでなく、定在波の問題も低減する。
アクアタールIII(商標名)トップコート層222の下はDX1100(商標名)フォトレジスト層(CAR)216である。特に、化学増幅DUVフォトレジストであるDX1100は、プロピレングリコールモノメチルエーテルアセテート(PGMEA)、PMA、1−メトキシ−2−プロピルアセテート、変性フェノール性ポリマー及び化学増幅剤としてのオニウム塩ハロゲン金属錯体を含む。このフォトレジストは、適用前の液状でのpHが約6.0、厚みが約5000Δの乾燥させたコート層での屈折率が約1.818である。DX1100(商標名)フォトレジストをスピンコーティングで適用して、厚さ約5000Δのスピン乾燥層を形成した。続いて、DX1100(商標名)フォトレジストをカリフォルニア州サンタクララのAPT(商標名)で製造したAPT(商標名)ベークステーションで約7分間、約90℃で焼付け、大気圧及び環境条件に曝露したところ、厚さが約10%減少して、厚さ約4500Δの乾燥したDX1100(商標名)フォトレジスト層が形成された。
DX1100(商標名)フォトレジスト(CAR)層216の下には、KRF17G(AZ/クラリアント社から入手可能)(図示せず)の厚さ470Δの有機ARC層、厚さ250Δの酸窒化クロム無機ARC層(図示せず)、典型的には厚さ約600〜1000オングストロームまでクロムを堆積させた(クロムはスパッタリング法で堆積させてもよい)金属マスク層である厚さ750Δの金属マスク材料層214、及び酸化ケイ素含有基板212がある。
本発明の態様のサンプル構造体は概して上述したものと同様であるが、トップコート材料に関しては、この材料のpHを適用前に調整した。特に、アクアタールIII(商標名)トップコートのpHは、DX1100(商標名)フォトレジスト層上に適用するに先立って水酸化テトラメチルアンモニウムを用いて約7に調整してある。中和させたアクアタールIII(商標名)の屈折率は、厚さ約450Δの乾燥した層状で測定した場合、約1.4であった。アクアタールIII(商標名)のpHを調整するために用いる塩基は当分野で既知の数々の有機又は無機塩基のいずれであってもよく、pH調整後のアクアタールIII(商標名)の屈折率を考慮して選択され、pH調節後の所望の屈折率は、トップコートと共に使用するフォトレジストの屈折率の平方根にほぼ等しい。
コントロールサンプル及び本発明の態様のサンプルはどちらも、アクアタールIII(商標名)トップコート材料222を、厚さ約4975ΔのDX1100(商標名)フォトレジスト層216の表面上に厚さ約450Δになるように適用することで準備した。それぞれのケースにおいて、アクアタールIII(商標名)トップコート材料222はスピン乾燥状態になるように適用した。
続いて、トップコート被覆CARの安定性を、コントロールサンプルと本発明の実施例のサンプルとを約22℃の製造工場の空調下に所定の時間放置することで評価した。続いてアクアタールIII(商標名)トップコートを除去した。保護トップコートを除去する際の、その下にあるCARフォトレジストの厚み損失を続いて測定した。CARフォトレジストの厚み損失の低下は、放置/保管期間中、CARフォトレジストがトップコートによって保護されていたことを示す。トップコートは10秒間脱イオン水ですすぐことで除去した。CARフォトレジストの現像に準拠して、脱イオン水を以下で説明のスピン適用技法を用いて適用した。
図3は、アクアタールIII(商標名)トップコート適用後の日数の関数としての、アクアタールIII(商標名)トップコートを除去する際のDX1100(商標名)CARフォトレジストの厚み損失を示す。上述したように、アクアタールIII(商標名)トップコートの除去中におけるDX1100(商標名)CARフォトレジスト層の厚み損失は、DX1100(商標名)CARフォトレジストとアクアタールIII(商標名)トップコートとの組み合わせの安定性を示す。DX1100(商標名)CARフォトレジストの厚みが大幅に減少する場合、これはフォトレジストの効果を低下させる形でアクアタールIII(商標名)トップコートがDX1100(商標名)CARフォトレジストと反応していることを示している。図3が示すように、曲線302は、pHを調節していないアクアタールIII(商標名)トップコートのコントロールサンプルを示す。一方、曲線304は、適用に先立ってpHを約7.0にまで調節したアクアタールIII(商標名)トップコートを使用した本発明の実施例サンプルを示す。図3の軸303は、DX1100(商標名)CARフォトレジスト層の厚み損失をnmで示しており、軸305はDX1100(商標名)CARフォトレジストを適用してからDX1100(商標名)CARフォトレジスト層表面からトップコートを除去するまでの期間を日数で示している。適用前にアクアタールIII(商標名)トップコート材料を中和することでより安定したフォトマスク直接書込みシステムを得られることは明白であり、これは直接書込みには、典型的には約20時間という長期間が必要とされるからである。更に、pHを調整したトップコート材料のCARフォトレジスト材料との安定性によってCARフォトレジスト被覆基板の長期保存が可能となり、例えばアクアタールIII(商標名)トップコート材料を適用してからDX1100(商標名)CARフォトレジストを現像するまでの保管時間が長くなる。
再度図2Aを参照するが、CAR216とトップコート222との組み合わせの安定性が、パターニングする基板の表面全体にわたってパターンを直接書込みする際に均一な線幅を得るという点で極めて重要となる。本例においては、アルタ257nm直接書込みレーザーを線225とスペース227から成るパターンの書込みのために用いた。ここで、線225の幅は0.35Φ、線間のスペース227の幅は0.35Φであった。直接書込みイメージング放射線223を適用してCAR216にイメージを形成した後、基板230を図2Bに図示するように焼き付けて、加熱によって完全なイメージ224の形成を促進した(例えば、熱源226によって示されるが、これに限定するものではない)。
図2Cを参照すると、pHが中性のトップコート222を除去することで、酸でイメージングしたその下のCAR216を現像している。トップコート222をCAR216に使用する現像剤で除去する場合もあるが、このステップは必要ない。アクアタールIII(商標名)トップコート材料は、脱イオン水で10秒間すすぐことで除去し、続いてDX1100(商標名)CARフォトレジスト層を、図2Dに示すように、AZ300MIF現像液でスピン229/スプレー228処理することで現像した。この現像液は、水酸化テトラメチルアンモニウム(TMAH)の2.38重量%溶液である。現像時間は約60秒間であった。最初に基板表面を濡らす際は、約100rpmの低スピン速度が最適であるが、それでもノズル通路を通して、プレートを回転させることによる均一な振動が可能である。現像液を供給している間、回転はそのまま維持し、続いて速度を約15rpmまで減速した。CO2散布した脱イオン水ですすぐ前に、残りの現像液を約1000rpmで約5秒間振り落として除去する必要がある。
DX1100(商標名)CARフォトレジスト層を現像した後、ドライエッチングをおこなって線225とスペース227を有機ARC及び無機ARC層を通して(図示せず)、その下のクロム層214に転写した。
基板230を、CD均一性を高く維持したまま、ターゲットまでの平均偏差が低減するような条件下において高密度プラズマでエッチングした。プラズマエッチングは、誘導結合プラズマ(ICP)エッチングツールを用いて、3段階処理で行われた。カス除去/有機ARC(BARC)除去、酸窒化クロム(無機ARC)/クロムエッチング、及びオーバーエッチングである。
プラズマエッチングシステム、例えばアプライドマテリアルズ社のテトラ7DPSJ(商標名)プラスエッチングシステム(カルフォルニア州サンタクララのアプライドマテリアルズ社から入手可能)を、優れた結果を得るために使用してもよい。プラズマ発生用と基板のバイアス用とで別々に電力を印加することができるプラズマ処理システムは、一般に分離プラズマ源(DPS)と呼ばれる。基板へのバイアス印加は、プラズマからのイオン及び他の高エネルギー種を基板表面に引き付けるために用いられ、これによって異方性エッチングが可能になる。プラズマ発生用と基板のバイアス用の電力とを別々に印加することで、プラズマ密度と基板表面に生じる引力(DC電圧)とを別々に制御できる。
分離プラズマ源(DPS)を含む装置に関する記載が、第11回プラズマ処理国際シンポジウムの会議録でヤン・イー(Yan Ye)その他によって発表されており(1996年5月7日)、電気化学学会報(Electrochemical Society Proceedings)(1996年。第96巻12章222〜233ページ)で出版されており、引用することで本願に組み込まれるものとする。1998年5月19日にハナワ(Hanawa)らに発行された米国特許第5753044号には、プラズマの発生とその維持、及び基板のバイアス印加に関して電力の印加を別々に行うことのできるタイプのRFプラズマ反応器についての一般的な記載が含まれている。
3つのステップから成るエッチング工程におけるカス除去/有機ARC(BARC)除去ステップにより、現像後も開放部に残る残留CARフォトレジスト層216を全て除去し、かつ開放部から有機ARC(BARC)層(図示せず)を除去する。これは酸素プラズマを用いて行なわれる。酸素プラズマは、酸素ガスをプラズマ源ガスとして用いて、下方の電極(その上にレチクル板が載っている)のみに電力を供給することで発生する。これによって、プラズマとレチクルとの間に容量結合プラズマとDCバイアスの双方が生じる。DCバイアスによってプラズマからフォトマスク表面方向への酸素イオン移動が加速され、その結果、高い運動エネルギーとフォトマスク表面に対して直角な方向性でもってイオンがフォトマスク表面に衝突する。典型的には、圧力約3mTorr〜約45mTorrの処理チャンバ内で処理は行われる。行った実施例実験においては、処理チャンバの圧力は約28mTorrであり、プラズマ源ガスである酸素は、流速約90sccmで処理チャンバに供給された。周波数13.56MHzで約125WのRF電力を下方の電極(陰極)に印加した。これによって、フォトマスクの表面上に酸素プラズマを供給しながらフォトマスク基板表面上にDCバイアスが印加された。レチクル板の温度は25℃の範囲内であり、チャンバ壁温度は70℃の範囲内であった。カス除去/有機ARC(BARC)の除去時間は約30秒であった。カス除去/有機ARC(BARC)の除去処理によるフォトレジストの損失は、約750Δである。
酸窒化クロム(無機ARC)(図示せず)/クロムマスク層216のエッチングは、塩素−酸素−ヘリウムの混合ガスから生成したプラズマを用いて行なわれた。塩素:酸素:ヘリウム混合ガスの分子比は、約33:117:10であった。全体のガス流速は約160sccmであった。ICPコイルに2MHz、約500Wの電力を供給し、高密度プラズマを発生させた。下方電極に約5Wの電力を約13.56MHZで供給し、基板上に約−50VのDC電圧を発生させた。レチクル板の温度は約25℃であり、一方、処理チャンバの壁の温度は約70℃であった。エッチングの終点は発光によって検知され、約100秒後に生じた。典型的には、酸素濃度が高く圧力が低いと、良好なCD均一性を保ちながら、高いターゲットまでの平均偏差と低い選択性をもたらす。当業者は、独自の装置に合わせて処理を最適化することができる。
典型的には、クロム層を終点を越えてオーバーエッチングすることで全ての開放領域から残留クロムを取り除く。一般に、オーバーエッチングステップは、上述したクロムのエッチング処理の延長である。オーバーエッチングステップが長いと、ターゲットまでの平均偏差が高くなる。クロムのスポット欠陥密度はオーバーエッチングの時間によって影響をうけ、オーバーエッチング処理が長いと欠陥密度が低下する。
クロム層のエッチング完了後にストリップ及び洗浄処理を行い、クロム層の表面から全ての残留汚染物質を除去する。使用したストリップ化学薬品は、約75℃に加熱した過酸化硫黄であり、基板プレート表面上に適用された。過酸化硫黄で処理した後、基板プレートをCO2−再イオン化又はCO2−散布された脱イオン水ですすぐ。ストリップ後、基板プレートを工業規格70:30のHSO/H溶液を用いて酸洗浄し、続いて別の脱イオン水ですすいだ。ストリップステップは、カリフォルニア州サンタクララのスティーグハマーテック7社(STEAG−HAMMATECH7)から市販のスティーグASC500(Steag ASC500)湿式化学処理ステーションで行った。
アクアタールIII(商標名)のコントロールサンプルトップコート(pH調整せず)をDX1100(商標名)CARフォトレジスト上に使用し、上記のマスク製造方法を用いてフォトマスクを製造したところ、書き込みが進むにつれフィーチャに線幅の減少が起こった。200nm(2000Δ)の線幅のフィーチャを有するフォトマスクについては、書き込み中に線幅が約250Δ低下した。pHを調節したアクアタールIII(商標名)トップコートをDX1100(商標名)CARフォトレジスト上に使用し、本発明の方法を用いてフォトマスクを製造したところ、書き込み中におけるフィーチャの線幅の減少は25Δ未満であった。
上述の実施例は本発明の範囲を限定することを意図しておらず、本開示に照らして、当業者は、以下に請求の本発明の主題に対応する形でこういった態様を発展させることが可能である。
本発明は、以下の詳細な説明を図面と共に考え合わせることでより良く理解することができる。図2A〜2Eは本発明の態様による方法の概念的な工程流れ図であり、図1のタイプの基本構造体を直接書込み法を用いてパターニングしている。
クロム層114、クロム層上のCAR層116、CAR116上の保護トップコート122をその上に有する基板112を含むフォトマスクブランクを示す。 図1のタイプの基本構造体230の簡略断面図であり、直接書込み用化学線を適用したものである。 直接書込み(イメージング)223後の図2Aの構造体230の簡略断面図であり、後露光焼付け最中のものである。 図2Bの構造体230の簡略断面図であり、保護トップコート層222を除去した後のものである。 図2Cの構造体230の簡略断面図であり、CAR216を現像した後のものである。 図2Dの構造体230の簡略断面図であり、CAR216からクロム含有層214にパターンを転写したあとのものである。 CAR現像時に損失したCARの厚みをnmで表したものであり、一般的な環境条件下でのCAR被覆基板の保存日数の関数であり、室温は約20℃〜約25℃で、相対湿度は約40〜約45%である。曲線302は非保護CAR被覆基板を表す。曲線304はトップコート被覆CARを表し、トップコートのpHは約7に中和されている。

Claims (18)

  1. a)フォトレジスト表面上に、pHを調整した放射線透過性拡散バリア保護トップコートを適用し、該トップコートの屈折率が化学増幅フォトレジストの屈折率の平方根に近似している工程と、
    b)該トップコートを通して該フォトレジストにパターンイメージを直接書込みする工程とを含む、該フォトレジストにパターンイメージを直接書込みする間、化学増幅フォトレジストに安定性を与えるフォトマスク製造方法。
  2. 該直接書込みが波長約198nm〜約257nmの連続波レーザー書き込みツールを使用して達成される請求項1記載の方法。
  3. 該波長が約198nmである請求項2記載の方法。
  4. pHを調整した該拡散バリア保護トップコートの適用前のpHが約5〜約8である請求項1〜3のいずれか1項記載の方法。
  5. pHを調整した拡散バリア保護トップコート材料の適用前のpHが約6.5〜7.5である請求項1〜3のいずれか1項記載の方法。
  6. 該トップコートが反射防止膜としても機能する請求項1〜3のいずれか1項記載の方法。
  7. 該トップコートが反射防止膜としても機能する請求項4記載の方法。
  8. 該トップコートが反射防止膜としても機能する請求項5記載の方法。
  9. 該トップコートがフルオロアルキルスルホン酸又はその塩を含む請求項6記載の方法。
  10. 該トップコートがフルオロアルキルスルホン酸又はその塩を含む請求項7記載の方法。
  11. 該トップコートはフルオロアルキルスルホン酸又はその塩を含む請求項8記載の方法。
  12. 該化学増幅フォトレジストがオニウム塩ハロゲン金属錯体を含有する請求項1〜3のいずれか1項記載の方法。
  13. 該保護トップコートの適用に先立ち、該化学増幅フォトレジスト層の焼付けを行う請求項1〜3のいずれか1項記載の方法。
  14. a)基板表面上に金属層を適用し、
    b)該金属層上の位置にフォトレジスト層を適用し、
    c)該フォトレジスト層上の位置にpHを調整した拡散バリア保護材料層を適用し、
    d)該基板、その上のフォトレジスト、及びpHを調整した拡散バリア保護材料とを約198〜約257nmの波長の放射線に露光させることを含むフォトマスクの形成方法。
  15. 該露光がパターンイメージを直接書込みする形で行われる請求項14記載の方法。
  16. pHを調整した該拡散バリア保護材料の適用前のpHが約5〜約8である請求項14記載の方法。
  17. pHを調整した該拡散バリア保護材料の適用前のpHが約6.5〜約7.5である請求項14記載の方法。
  18. 該トップコートが反射防止膜としても機能する請求項14〜17のいずれか1項記載の方法。
JP2007501033A 2004-02-25 2005-02-25 イメージ直接書込み中のフォトレジスト安定性を延長する方法 Pending JP2007525714A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/788,117 US6969569B2 (en) 1999-04-16 2004-02-25 Method of extending the stability of a photoresist during direct writing of an image
PCT/US2005/006209 WO2005083514A2 (en) 2004-02-25 2005-02-25 Method of extending the stability of a photoresist during direct writing of an image

Publications (1)

Publication Number Publication Date
JP2007525714A true JP2007525714A (ja) 2007-09-06

Family

ID=34911494

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007501033A Pending JP2007525714A (ja) 2004-02-25 2005-02-25 イメージ直接書込み中のフォトレジスト安定性を延長する方法

Country Status (4)

Country Link
US (1) US6969569B2 (ja)
JP (1) JP2007525714A (ja)
KR (1) KR20070007113A (ja)
WO (1) WO2005083514A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015029693A1 (ja) * 2013-08-28 2015-03-05 Hoya株式会社 マスクブランク、マスクブランクの製造方法および転写用マスクの製造方法

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6727047B2 (en) * 1999-04-16 2004-04-27 Applied Materials, Inc. Method of extending the stability of a photoresist during direct writing of an image upon the photoresist
SG102681A1 (en) 2001-02-19 2004-03-26 Semiconductor Energy Lab Light emitting device and method of manufacturing the same
US7368229B2 (en) * 2004-04-28 2008-05-06 Taiwan Semiconductor Manufacturing Co., Ltd. Composite layer method for minimizing PED effect
US7396482B2 (en) * 2005-10-28 2008-07-08 Infineon Technologies Ag Post exposure resist bake
US20070166640A1 (en) * 2006-01-19 2007-07-19 Yayi Wei Defect reduction in immersion lithography
JP2008071974A (ja) * 2006-09-14 2008-03-27 Nec Electronics Corp パターン形成方法およびこれを用いた半導体装置の製造方法
US8753974B2 (en) * 2007-06-20 2014-06-17 Micron Technology, Inc. Charge dissipation of cavities
US8764899B2 (en) * 2009-03-11 2014-07-01 Basf Corporation Coating for and method of testing landscaping material
KR101830327B1 (ko) 2012-03-08 2018-02-21 삼성전자주식회사 Euv용 패턴 및 duv용 패턴을 가진 포토마스크
US8906583B2 (en) * 2012-12-20 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked mask
US9435138B2 (en) 2014-02-28 2016-09-06 Schlage Lock Company Llc Modular lock plug
US10347486B1 (en) * 2017-12-19 2019-07-09 International Business Machines Corporation Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (EUV) lithography

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003007081A1 (en) * 2001-07-12 2003-01-23 Applied Materials, Inc. Methods using topcoat for photoresist
WO2003046658A1 (en) * 2001-11-21 2003-06-05 Applied Materials, Inc. Method of extending the stability of a photoresist during direct writing of an image upon the photoresist

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02103547A (ja) 1988-10-13 1990-04-16 Fujitsu Ltd 導電性層の形成方法
JPH04204848A (ja) 1990-11-30 1992-07-27 Matsushita Electric Ind Co Ltd 微細パターン形成方法
DE4040117C2 (de) 1990-12-13 1994-02-17 Fotochem Werke Gmbh Stahlenempfindliches Material für die Elektronenstrahl- und Röntgenstrahllithographie und Verfahren zur Trockenentwicklung des Materials
US5260349A (en) * 1991-01-04 1993-11-09 Polyset Corporation Electron beam curable epoxy compositions
DE4142670A1 (de) 1991-12-21 1993-06-24 Wabco Westinghouse Fahrzeug Verfahren zur abbremsung eines fahrzeugs
JPH05344899A (ja) * 1992-06-11 1993-12-27 Kokuritsu Yobou Eisei Kenkyusho C型肝炎ウイルス外被タンパク質の産生法
EP0605089B1 (en) * 1992-11-03 1999-01-07 International Business Machines Corporation Photoresist composition
JP2654339B2 (ja) * 1992-11-24 1997-09-17 インターナショナル・ビジネス・マシーンズ・コーポレイション 感光性レジスト組成物及び基板上にレジスト像を形成する方法
US6159665A (en) 1993-06-17 2000-12-12 Lucent Technologies Inc. Processes using photosensitive materials including a nitro benzyl ester photoacid generator
CA2131507C (en) * 1993-11-08 1999-11-02 Edwin A. Chandross Resist materials and related processes
KR100380546B1 (ko) * 1994-02-24 2003-06-25 가부시끼가이샤 히다치 세이사꾸쇼 반도체집적회로장치의제조방법
EP0781424B1 (de) * 1994-09-12 1998-11-18 Siemens Aktiengesellschaft Photolithographische strukturerzeugung
US6258514B1 (en) * 1999-03-10 2001-07-10 Lsi Logic Corporation Top surface imaging technique using a topcoat delivery system
US6165682A (en) * 1999-09-22 2000-12-26 Arch Specialty Chemicals, Inc. Radiation sensitive copolymers, photoresist compositions thereof and deep UV bilayer systems thereof
US6703169B2 (en) 2001-07-23 2004-03-09 Applied Materials, Inc. Method of preparing optically imaged high performance photomasks

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003007081A1 (en) * 2001-07-12 2003-01-23 Applied Materials, Inc. Methods using topcoat for photoresist
WO2003046658A1 (en) * 2001-11-21 2003-06-05 Applied Materials, Inc. Method of extending the stability of a photoresist during direct writing of an image upon the photoresist

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015029693A1 (ja) * 2013-08-28 2015-03-05 Hoya株式会社 マスクブランク、マスクブランクの製造方法および転写用マスクの製造方法
KR20160047525A (ko) * 2013-08-28 2016-05-02 호야 가부시키가이샤 마스크 블랭크, 마스크 블랭크의 제조 방법 및 전사용 마스크의 제조 방법
JPWO2015029693A1 (ja) * 2013-08-28 2017-03-02 Hoya株式会社 マスクブランク、マスクブランクの製造方法および転写用マスクの製造方法
US9927697B2 (en) 2013-08-28 2018-03-27 Hoya Corporation Mask blank, method of manufacturing mask blank and method of manufacturing transfer mask
KR102239728B1 (ko) 2013-08-28 2021-04-12 호야 가부시키가이샤 마스크 블랭크, 마스크 블랭크의 제조 방법 및 전사용 마스크의 제조 방법

Also Published As

Publication number Publication date
WO2005083514A3 (en) 2006-04-06
WO2005083514A2 (en) 2005-09-09
US6969569B2 (en) 2005-11-29
US20040265706A1 (en) 2004-12-30
KR20070007113A (ko) 2007-01-12

Similar Documents

Publication Publication Date Title
JP2007525714A (ja) イメージ直接書込み中のフォトレジスト安定性を延長する方法
US6727047B2 (en) Method of extending the stability of a photoresist during direct writing of an image upon the photoresist
US6703169B2 (en) Method of preparing optically imaged high performance photomasks
US6605394B2 (en) Organic bottom antireflective coating for high performance mask making using optical imaging
US6107009A (en) Photoresist developer and method
US6645677B1 (en) Dual layer reticle blank and manufacturing process
KR20050010821A (ko) 포토 마스크 제조 및 반도체 공정에서 사용하기 위한감광성의 화학적 증폭형 포토레지스트
JP2004534969A (ja) ホトレジストのための上部薄膜を使用する方法
US7208249B2 (en) Method of producing a patterned photoresist used to prepare high performance photomasks
US20050221019A1 (en) Method of improving the uniformity of a patterned resist on a photomask
US7468227B2 (en) Method of reducing the average process bias during production of a reticle
JPH09167733A (ja) パターン形成方法
Baik et al. REAP (raster e-beam advanced process) using 50-kV raster e-beam system for sub-100-nm node mask technology
JP3722597B2 (ja) 水溶性ポリマーの塗布方法及びパターン形成方法
US6350559B1 (en) Method for creating thinner resist coating that also has fewer pinholes

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080219

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100601

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20101102