KR20040021591A - 마스크 결함 인쇄적성 분석을 제공하는 시스템과 방법 - Google Patents

마스크 결함 인쇄적성 분석을 제공하는 시스템과 방법 Download PDF

Info

Publication number
KR20040021591A
KR20040021591A KR10-2003-7012260A KR20037012260A KR20040021591A KR 20040021591 A KR20040021591 A KR 20040021591A KR 20037012260 A KR20037012260 A KR 20037012260A KR 20040021591 A KR20040021591 A KR 20040021591A
Authority
KR
South Korea
Prior art keywords
mask
defect
feature
image
wafer image
Prior art date
Application number
KR10-2003-7012260A
Other languages
English (en)
Other versions
KR100610441B1 (ko
Inventor
카이린
카클린리나드
팽린용
Original Assignee
뉴메리컬 테크날러지즈 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/814,023 external-priority patent/US6873720B2/en
Priority claimed from US09/814,025 external-priority patent/US6925202B2/en
Application filed by 뉴메리컬 테크날러지즈 인코퍼레이티드 filed Critical 뉴메리컬 테크날러지즈 인코퍼레이티드
Publication of KR20040021591A publication Critical patent/KR20040021591A/ko
Application granted granted Critical
Publication of KR100610441B1 publication Critical patent/KR100610441B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N21/95607Inspecting patterns on the surface of objects using a comparative method
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

물리적 마스크의 시뮬레이팅된 웨이퍼 이미지와 무결함 기준 이미지는 각 결함에 대한 중대성 점수를 발생시키기 위해 사용되며, 그리하여 마스크를 사용하거나 그 마스크를 수리하는 결과를 정확히 평가하기 위한 의미있는 정보를 고객에게 준다. 결함 중대성 점수는 결함의 이웃 피처의 임계 치수에 있어서의 변화와 관련된 많은 인자에 기초하여 산정된다. 공통 처리 윈도우는 결함 인쇄적성에 관한 객관적인 정보를 제공하기 위해 또한 사용될 수 있다. 라인 에지 거칠기와 콘택트 코너 라운딩과 같은 마스크 품질과 관련된 마스크의 다른 측면은 물리적 마스크의 시뮬레이팅된 웨이퍼 이미지를 이용함으로써 또한 정량화될 수 있다.

Description

마스크 결함 인쇄적성 분석을 제공하는 시스템과 방법{SYSTEM AND METHOD OF PROVIDING MASK DEFECT PRINTABILITY ANALYSIS}
마스크/레티클 결함
반도체 기판에 집적회로(IC)를 제조하기 위해서, IC의 물리적 표현이 패턴 도구상으로 전달된다. 이후, 패턴 도구는 노출되어 이 패턴을 반도체 기판상으로 전달한다. 마스크는 IC 공정에 사용되는 표준적인 패턴 도구이다. 일반적으로, 마스크는 단일 노출에서 전체 반도체 기판(예를 들면, 웨이퍼)에 전달될 수 있는 패턴을 포함한다. 또다른 표준적인 패턴 도구인 레티클은 전체 기판 표면을 노출하기 위해서 단계적으로 반복되어야 한다. 여기서 참조의 편의를 위해, "마스크"라는 용어는 레티클이나 마스크를 지칭한다.
일반적인 마스크는 크롬 코팅을 갖는 석영판으로부터 형성된다. 일반적으로, 마스크는 IC 설계의 각 층마다 생성된다. 구체적으로, 물리적 층(폴리실리콘 층이나 금속 층과 같은)을 나타내는 IC 레이아웃 데이터 화일의 부분은 크롬 층 안으로 에칭된다. 그리하여, 각 마스크는 해당하는 층에 대한 소정의 회로 레이아웃을 나타내는 패턴을 포함한다. 고밀도 IC에서, 마스크는 세리프, 해머헤드, 바이어스, 보조 막대와 같은 광학 근접 정정(optical proximity correction: 이하 OPC) 피처(features)를 또한 포함할 수 있다. 이러한 OPC 피처는 공정 인공물 및/또는 근접 효과를 보상하기 위해 사용되는 서브-해상도 피처이다.
고밀도 IC 설계에서, IC 제조업계의 당업자들은 원래 디자인 레이아웃의 정확한 표현을 제공하는 마스크를 사용하는 것의 중요성을 인식하고 있다. 불행히도, "완전한" 마스크는 상업적으로 실용적이지 않다. 사실, 최적의 제조 조건 하에서 조차도 약간의 마스크 결함이 제어된 처리 밖에서 발생할 수 있다.
마스크 상에서의 결함은 검사 도구나 검사 엔지니어에 의해 받아들일 수 없는 것으로 간주되는 설계 데이터베이스로부터의 임의의 일탈(즉, 불규칙성)이다. 도 1은 집적회로를 검사하는 종래의 방법의 순서도(100)를 예시한다. 단계(110)에서, IC가 설계된다. 단계(112)에서, 마스크 설계 데이터, 예를 들면 IC의 레이아웃의 데이터 화일이 생성된다. 이 데이터는 단계(114)에서 마스크를 제조하는데 사용된다. 이 시점에서, 마스크는 고해상도 현미경으로 마스크 표면을 스캐닝하고 마스크의 이미지를 캡처링함으로써 단계(116)에서 검사된다. 마스크에 있는 불규칙성은 그 위치로써 목록에 식별된다. 일실시예에서, 마스크는 결합된 격자 패턴을 가지며 목록은 불규칙성이 위치한 격자 패턴에 있는 사각형을 나타낸다. 이러한 검사와 불규칙성 식별은 KLA-Tencor이나 Applied Materials와 같은 회사에서 제공되는 특수화된 장비/소프트웨어에 의해 수행될 수 있다.
마스크가 검사(단계(118))를 통과하는지의 여부를 판정하기 위해서 숙련된검사 엔지니어나 반자동 검사 장비는 단계(116)에서 식별된 불규칙성을 재조사한다. 제조회사나 사용자에 의해 설정된 허용오차 바깥에 있는 것으로 간주되는 불규칙성만이 결함으로 특징화된다. 만약 불규칙성이 발견되고 허용오차 외부에 있다면, 그 마스크가 수리될 수 있는지의 판정이 단계(128)에서 행해진다. 만약 마스크가 수리될 수 있다면, 마스크는 단계(130)에서 클리닝 및/또는 수리되며, 처리는 마스크를 검사하는 단계(116)로 되돌아간다. 만약 마스크가 수리될 수 없다면, 새로운 마스크가 제조되어야 하고 검사 처리는 단계(114)로 되돌아간다. 만약 마스크가 단계(118)에서 판정된 바와 같이 검사를 통과하면, 실제 웨이퍼는 단계(120)에서 마스크를 이용하여 노출된다.
마스크가 웨이퍼 상에 소정의 이미지를 생산하는 것을 확실히 하기 위해, 웨이퍼 자체는 일반적으로 단계(122)에서 검사된다. 만약 불규칙성이 발견되고 단계(124)에서의 검사에서 판정된 바와 같이 허용오차 외부에 있다면, 그 마스크가 수리될 수 있는지의 판정이 단계(128)에서 행해진다. 만약 마스크가 수리될 수 있다면, 마스크는 단계(130)에서 클리닝 및/또는 수리되고 처리는 마스크를 검사하는 단계(116)로 되돌아 간다. 만약 마스크가 수리될 수 없다면, 새로운 마스크가 제조되어야 하고 검사 처리는 단계(114)로 되돌아 간다. 만약 불규칙성이 웨이퍼 상에서 발견되지만 허용오차 내에 있는 것으로 판정되면, 마스크는 단계(124)에서 검사를 통과하고, 검사 처리는 단계(126)에서 끝난다.
불행히도, 전술한 처리는 많은 중요한 단점을 가진다. 예를 들면, 자동 검사 장비는 원칙적으로 크기에 의해서 허용오차를 측정한다. 그리하여, 만약 마스크에 있는 핀홀(pinhole)이 소정의 크기를 가진다면, 자동 검사 장비는 마스크 상에서 그것의 위치에 상관없이 그 핀홀을 결함으로 지시할 것이다. 대조적으로, 숙련된 검사 엔지니어는 자신의 경험 수준에 따라서 추가적이고 보다 주관적인 방법을 사용할 수 있을 것이다. 구체적으로, 숙련 엔지니어는 소정의 크기보다는 훨씬 작지만 중요한 영역에 있는 핀홀이 기능이나 성능에 해로운 영향을 미칠 것인지, 그리하여 결함으로 특징지워지야 할 지, 또는 소정의 크기보다는 더 크지만 중요한 영역에 있지 않은 핀홀이 기능이나 성능에 영향을 미치지 않을 지의 여부를 판정할 수 있을 것이다. 그러나, 이러한 기술은 상당한 비용으로 긴 시간에 걸쳐 개발되어야만 한다. 더욱이, 모든 인간 활동과 마찬가지로, 이러한 기술이 개발된 이후라도, 재검사의 품질은 필연적으로 변화한다. 그리하여, 불규칙성을 특징화하는 단계는 실수가 개입되기 쉽다.
전술한 공정의 또다른 단점은 잘못된 결함 검출의 촉발이다. 예를 들면, 자동 검사 장비는 OPC나 불완전한 OPC 피처를 결함으로 잘못 보고할 수 있다. 앞에서 언급한 바와 같이, OPC 피처는 근접 효과를 보상하기 위해 사용되는 서브-해상도 피처이다. 그러므로, OPC 피처는 일반적으로 결함을 구성하지도 결함에 기여하지도 않을 것이다.
마스크 검사 시스템
이러한 단점에 대처하기 위해서, 뉴메리컬 테크날러지즈사(Numerical Technologies, INC. )에 의해 설계된 마스크 검사 시스템은 웨이퍼의 실제 노출에의존함이 없는 마스크 품질 평가를 제공한다. 이러한 마스크 검사 시스템이 미국 특허 출원 제 09/130,996호(이하 NTI 시스템으로 칭함) - 명칭은 "시각적 검사 및 검증 시스템"이고 1998년 8월 7일에 출원되었으며, 참조에 의해 본 출원에 통합됨 - 에 설명되어 있다.
도 2는 NTI 시스템에 따라 마스크의 결함을 검사하는 처리(200)을 예시하고 있다. 처리(200)는 검사 도구(202)와 웨이퍼 이미지 발생기(209)를 이용한다. 일실시예에서, 검사 도구(202)는 물리적 마스크(201)의 전부 또는 일부를 스캔하기 위해 일반적으로 고해상도 이미징 장치인 이미지 획득기(203)를 포함한다. 결함 검출 처리기(204)는 이미지 획득기(203)에 의해 제공된 마스크 이미지를 잠재적인 결함 기준의 세트와 비교하여, 마스크의 어떤 영역이 잠재적인 결함을 포함하고 있는지를 판정한다. 만약 잠재적인 결함이 식별되면, 결함 검출 처리기(204)는 잠재적인 결함을 포함하고 둘러싸는 영역의 결함 영역 이미지를 제공하기 위해서 결함 영역 이미지 발생기(205)에 신호를 보낸다.
일실시예에서, 검사 도구(202)는 결함 영역 이미지 데이터(206)를 웨이퍼 이미지 발생기(209)에 제공한다. 또다른 실시예에서, 이러한 데이터는 디지털화 장치(207)에 의해 디지털화되고, 저장 장치(208)에 저장되며, 차후에 웨이퍼 이미지 발생기(209)에 제공된다. 잠재적 결함으로 식별된 영역과 잠재적 결함으로 식별되지 않은 영역 모두를 분석하는 또다른 실시예에서, 이미지 획득기(203)에 의해 제공된 스캔된 이미지는 웨이퍼 이미지 발생기(209)에 직접적으로 제공되거나 디지털화 장치(207)와 저장 장치(208)을 거쳐 간접적으로 제공될 수 있다.
웨이퍼 이미지 발생기(209)는 실시간 피드(feed)로 검사 도구(202)로부터 직접 데이터를 수신하거나 저장 장치(208)로부터 오프라인으로 데이터를 수신하는 입력 장치(210)를 포함한다. 이미지 시뮬레이터(211)는 리소그래피 조건(212)과 같은 다른 입력 데이터 뿐만아니라 입력 장치(210)으로부터의 정보를 수신한다. 리소그래피 조건(212)은 조명의 파장, 수치 애퍼처, 일치값(coherence value), 디포커스(defocus)(여기서 디포커스라는 용어는 초점 평면 포지셔닝을 가리킨다), 노출 수준, 렌즈 수차, 기판 상태, 및 필요로하는 임계 치수를 포함할 수 있지만, 그것들에 제한되지는 않는다. 이러한 입력들을 이용해서, 이미지 시뮬레이터(211)는 웨이퍼 상에서 노출되는 물리적 마스크(201)를 시뮬레이션하는 웨이퍼 이미지(213)를 생성할 수 있다. 이미지 시뮬레이터(211)는 시뮬레이팅된 처리 윈도우(214)와 작업 출력(215)을 또한 생성할 수 있다. 일실시예에서, 이미지 시뮬레이터(211)는 블록(216)에 의해 지시된 바와 같이 포토레지스트 및/또는 에칭 처리를 또한 고려할 수 있다.
비록 처리(200)가 시뮬레이팅된 웨이퍼 이미지(213)를 통해서 고객에게 중요한 정보를 제공함에도 불구하고, 예를 들면, 고객은 수행할 적절한 행동(예를 들면, 마스크를 수리하거나 새 마스크를 제조하는 것)과 관련된 결정을 내리기 위해 그 정보를 여전히 재검토하여야만 한다. 그리하여, 처리(200)는 사람의 실수에 종족될 수 있다. 그러므로, 마스크 결함 인쇄적성과 마스크 품질에 대한 객관적이고도 정확한 측정을 제공하는 마스크 검사 시스템과 처리에 대한 필요성이 발생한다.
집적 회로 마스크를 위한 결함 인쇄적성(printability) 분석을 제공하는 검사가 설명된다.
도 1은 종래의 마스크 검사 처리를 예시,
도 2는 뉴메리컬 테크날러지즈사에 의해 개발된 알려진 마스크 검사 처리 및 시스템을 예시,
도 3은 복수의 마스크를 이용함으로써 결함을 분석하는 방법을 예시,
도 4A 및 4B는 마스크에 있는 다양한 피처에 관계되어 그들의 위치에 기초한 결함을 분석하는 것을 예시,
도 5는 마스크 검사 처리와 시스템을 예시,
도 6은 정확한 변환 인자를 발생시키는 하나의 방법을 예시,
도 7은 정확한 변환 인자를 발생시키는 또다른 방법을 예시,
도 8A 내지 8C는 결함 인쇄적성 분석 발생기와 결합된 컴퓨터-구현 프로그램의 다양한 특징을 예시,
도 9A 및 9B는 각각 물리적 마스크와 기준 마스크의 일부분을 예시,
도 10A(1-3)은 3가지 노출에 대해서, 도 9A에 있는 물리적 마스크의 무결함 영역의 시뮬레이팅된 웨이퍼 이미지를 예시,
도 10B(1-3)은 3가지 노출에 대해서, 도 9B에 있는 기준 마스크의 무결함 영역의 시뮬레이팅된 웨이퍼 이미지를 예시,
도 11A(1-3)은 3가지 노출에 대해서, 도 9A에 있는 물리적 마스크의 결함 영역의 시뮬레이팅된 웨이퍼 이미지를 예시,
도 11B(1-3)은 3가지 노출에 대해서, 도 9B에 있는 기준 마스크의 결함 영역의 시뮬레이팅된 웨이퍼 이미지를 예시,
도 12A는 피처와 피처에 근접한 결함을 포함하는 마스크를 예시,
도 12B는 도 12A의 피처에 대해서, 피처 크기 대 디포커스의 그래프를 예시,
도 12C는 도 12A의 피처에 대해서, 노출 편차 대 디포커스의 그래프, 공통 처리 윈도우 그래프를 예시,
도 12D는 도 12A의 피처에 대해서, 노출 관용도 대 초점 깊이의 그래프를 예시,
도 13A는 피처와 그 피처에 근접한 결함을 포함하는 마스크를 예시 - 여기서, 이 결함은 도 12A의 결함보다 더 큼,
도 13B는 도 13A의 피처에 대해서, 피처 크기 대 디포커스의 그래프를 예시,
도 13C는 도 13A의 피처에 대해서, 노출 편차 대 디포커스의 그래프를 예시,
도 13D는 도 13A의 피처에 대해서, 노출 관용도 대 초점 깊이의 그래프를 예시,
도 14A는 그곳에 일체적으로 형성된 결함을 갖는 피처를 포함하는 마스크를 예시,
도 14B는 도 14A의 피처에 대해서, 피처 크기 대 디포커스의 그래프를 예시,
도 14C는 도 14A의 피처에 대해서, 노출 편차 대 디포커스의 그래프를 예시,
도 14D는 도 14A의 피처에 대해서, 노출 관용도 대 초점 깊이의 그래프를 예시,
도 15A는 그곳에 일체적으로 형성된 결함을 갖는 피처를 포함하는 마스크를 예시 - 여기서, 이 결함은 도 14A의 결함보다 더 큼,
도 15B는 도 15A의 피처에 대해서, 피처 크기 대 디포커스의 그래프를 예시,
도 15C는 도 15A의 피처에 대해서, 노출 편차 대 디포커스의 그래프를 예시,
도 15D는 도 15A의 피처에 대해서, 노출 관용도 대 초점 깊이의 그래프를 예시,
도 16A는 콘택트(또는 비아 또는 포스트)를 포함하는 마스크를 예시,
도 16B는 도 16A의 콘택트에 대해서, 피처 크기 대 디포커스의 그래프를 예시,
도 16C는 도 16A의 콘택트에 대해서, 노출 편차 대 디포커스의 그래프를 예시,
도 16D는 도 16A의 콘택트에 대해서, 노출 관용도 대 초점 깊이의 그래프를 예시,
도 17A는 중요한 임계 치수(CD) 변동을 갖는 콘택트(또는 비아 또는 포스트)를 갖는 마스크를 예시,
도 17B는 도 17A의 콘택트에 대해서, 피처 크기 대 디포커스의 그래프를 예시,
도 17C는 도 17A의 콘택트에 대해서, 노출 편차 대 디포커스의 그래프를 예시,
도 17D는 도 17A의 콘택트에 대해서, 노출 관용도 대 초점 깊이의 그래프를 예시,
도 18은 마스크 수리 처리와 시스템을 예시,
도 19A는 임계 치수 변동을 보이지 않을 수 있는 라인 에지 거칠기를 갖는 라인을 도시하는 간략화된 레이아웃을 예시,
도 19B는 라인 에지 거칠기가 결정된 간략화된 레이아웃을 예시, 및
도 20A 및 20B는 코너 라운딩 및/또는 대칭성이 결정된 간략화된 레이아웃을 예시한다.
결함 인쇄적성을 분석하는 시스템과 방법이 제공된다. 이 분석에서, 물리적인 마스크와 그에 상응하는 무결함 기준 이미지가 검사된다. 일실시예에서, 무결함 기준 이미지는 다음 중 하나일 수 있다: 물리적 마스크의 레이아웃의 시뮬레이팅된 이미지, 동일한 패턴을 갖는 물리적 마스크의 무결함 영역, 또는 제조상에서 처리된 바대로의 물리적 마스크의 시뮬레이팅된 이미지.
이러한 검사는 기준 이미지와 비교하여 물리적 마스크의 임의의 결함, 즉 불규칙성을 식별한다. 만약 결함이 식별되면, 기준 이미지로부터 상응하는 영역 이미지뿐만 아니라 그 결함의 결함 영역 이미지와 물리적 마스크로부터 그 결함을 둘러싸는 영역이 웨이퍼 이미지 발생기에 제공된다. 웨이퍼 이미지 발생기는 이미지 데이터, 즉 물리적 마스크와 기준 이미지에 대한 시뮬레이션을 발생시킨다.
일실시예에서, 웨이퍼 이미지 발생기는 복수의 리소그래피 조건을 수신할 수 있다. 이러한 조건은 고객에 의해서 물리적 마스크가 노출될 수 있는 리소그래피 조건과 시스템 파라미터에 특정적이다. 그러한 데이터는 예를 들면, 시스템에서 사용되는 조명의 파장(λ), 시스템의 수치 애퍼처(NA), 시스템의 일치값(σ), 조명 유형(예를 들면, 오프-축 또는 환형), 디포커스, 노출 수준, 렌즈 수차, 기판 상태, 설계의 임계 수치(critical dimension:이하 CD)를 포함할 수 있다. 일실시예에서, 각각의 파라미터는 값의 범위를 포함할 수 있으며, 그리하여 웨이퍼 이미지 발생기가 서로다른 조합에서 가능한 리소그래피 조건의 범위에 기초하여 복수의 시뮬레이션을 발생시키도록 허용한다.
매우 비선형적인 서브-파장 제조 플로우는 다음과 같은 방식으로 보상될 수있다. 구체적으로, 서브-파장 기술에서 웨이퍼 이미지의 정확도를 향상시키기 위해서, 웨이퍼 이미지 발생기는 하나나 그 이상의 변환 인자를 또한 수신할 수 있다. 변환 인자는 고립된 라인, 빽빽하게 패킹된 라인 및 콘택트와 같은 마스크 상의 피처들에 기초하여 변할 수 있다. 변환 인자는 스텝퍼(stepper) 파라미터와 포토레지스트를 포함하여, 제조 공정의 어떤 면에 기초하여 또한 변할 수 있다.
일실시예에서, 테스트 마스크에 제공된 테스트 패턴은 웨이퍼 이미지 발생기를 이용하여 시뮬레이팅된다. 테스트 패턴은 가변폭의 고립된 라인, 가변폭의 빽빽하게 패킹된 라인, 다양한 크기의 콘택트를 포함할 수 있다. 임계 수치(CD)에서의 임의의 변화를 포함하여, 결함 분석은 시뮬레이팅된 웨이퍼 이미지 상에서 각각의 이미지 패턴에 대해서 주목될 수 있다. 다음의 사실을 주목하라. 즉 여기서 사용되는 바와 같이, CD는 특정 위치의 측정 또는 계산된 크기이며, 이것은 일차원이거나 이차원일 수 있다. 이 정보로부터, 각 피처에 대한 변환 인자는 정확하게 계산될 수 있다. 더욱이, 임의의 횟수의 시뮬레이션이 이들 제조 공정에 대한 변환 인자를 얻기 위해서 다양한 처리(예를 들면, 리소그래피 조건)를 이용하여 제공될 수 있다. 마스크 샵 특정 바이어스는 리소그래피 조건에 또한 포함될 수 있으며, 그리하여 이 실시예에 의해 발생된 변환 인자의 정확도를 추가적으로 향상시킨다.
변환 인자를 제공하는 이러한 방법은 그 웨이퍼의 제조에 대한 시간뿐만 아니라 프린팅된 웨이퍼와 결합된 비용을 제거하기 때문에 매우 비용-효율적이다. 더욱이, 시뮬레이션 환경 때문에, 이러한 방법은 실제 제조 이전에 시스템 파라미터를 최적화하는 데 있어서 중요한 유연성을 제공한다.
일실시예에 따라서, 결함 인쇄적성 분석 발생기는 웨이퍼 이미지 발생기로부터 물리적 마스크의 시뮬레이팅된 웨이퍼 이미지와 기준 이미지를 수신한다. 일실시예에서, 2개의 시뮬레이팅된 웨이퍼 이미지가 전처리 동작에서 정렬된다. 정렬은 마스크에 있는 무결함 패턴을 이용하거나 마스크로부터의 좌표를 잉요하여 행해질 수 있다. 이러한 패턴이나 좌표가 정렬될 때, 이러한 마스크 상에(이들 마스크의 웨이퍼 이미지 상에서뿐만 아니라) 제공된 피처들은 또한 정렬된다.
정렬 후, 이차원 분석이 진행될 수 있다. 이차원 분석에서 물리적 마스크의 시뮬레이팅된 웨이퍼 이미지 상에 있는 결함과 기준 마스크의 시뮬레이팅된 웨이퍼 이미지 상에 있는 상응하는 영역이 식별된다. 이후, 물리적 마스크의 시뮬레이팅된 웨이퍼 이미지 상에 있는 그 결함에 근접한 임의의 피처(이웃 피처)가 식별된다. 하나의 단순한 구현예에서, 그 결함으로부터 수정의 거리 이내에 있는 임의의 피처가 이웃 피처로서 식별될 수 있다. 다른 실시예에서, 결함의 크기와 이웃 피처로부터의 결함의 거리 모두가 설계 규칙표에 있는 측정치와 비교된다. 설계 규칙표는 각각의 결함 크기(또는 크기 범위)에 대해서, 결함으로부터 최대 거리를 식별할 수 있는데, 여기서 만약 피처가 결함으로부터 최대 거리 이내에 위치한다면, 그 피처는 이웃 피처이다. 마지막으로, 식별된 임의의 이웃 피처는 기준 마스크의 시뮬레이팅된 웨이퍼 이미지 상에 위치된다.
이 시점에서, 시뮬레이팅된 웨이퍼 이미지에 대한 결함 분석이 행해질 수 있다. 결함 분석은 평균 CD 편차(ACD), 상대 CD 편차(RCD), 최대 CD 편차(MCD)를 결정하는 것을 포함한다. ACD를 계산하기 위해서, 물리적 마스크의 시뮬레이팅된 웨이퍼 이미지에 있는 무결함 피처의 CD는 기준 마스크의 시뮬레이팅된 웨이퍼 이미지에 있는 상응하는 피처의 CD로부터 차감된다. 이 차는 이후 기준 마스크의 시뮬레이팅된 웨이퍼 이미지에 있는 상응하는 피처의 CD로 나누어진다. 이러한 계산은 시뮬레이팅된 웨이퍼 기준 이미지로부터 시뮬레이팅된 웨이퍼 물리적 이미지의 CD 편차를 발생시킨다(즉, 나중 계산에 사용되는 교정(calibration) 인자). 더 높은 정확도를 위해서, 하나 이상의 무결함 영역이 무결함 영역에 대한 ACD를 제공하기 위해서 분석될 수 있다. 일실시예에서, ACD는 각각의 노출에 대해서 계산된다.
상대 CD 편차(RCD)를 계산하기 위해서, 기준 마스크의 시뮬레이팅된 웨이퍼 이미지에 있는 식별된 이웃 피처의 CD는 물리적 마스크의 시뮬레이팅된 웨이퍼 이미지에 있는 상응하는 피처의 CD로부터 차감된다. 다음을 주목하라. 즉, 피처는 라인, 스페이스와 같은 일차원이거나, 콘택트 홀, 파일, 포스트, 세리프나 영역에 기초한 다른 구조물와 같은 이차원일 수 있다. 이 차는 이후 기준 마스크의 시뮬레이팅된 웨이퍼 이미지에 있는 상응하는 피처의 CD에 의해 나누어 진다. 일실시예에서, RCD는 각 이웃 피처 및 각 노출에 대해서 계산될 수 있다. RCD 중에서 최대 CD 편차(MCD)는 각 노출 수준에 대해서 결정될 수 있다.
일실시예에 따라서, 결함 인쇄적성 분석 발생기는 임계 영역 식별 발생기로부터 정보를 또한 수신할 수 있다. 임계 영역 식별 발생기는 각 마스크의 영역을 식별하는 정보를 결함 왼쇄적성 분석 발생기에 제공하는데, 이 영역은 최종 IC 디바이스에서 적절한 성능을 보장하기 위해서 고도의 정밀도를 필요로하는, 게이트와같은 지정된 임계 영역이다. 이러한 정보는 CD 편차에 대한 허용오차(TCD)로 불린다. 임계 영역에 있는 결함은 일반적으로 비임계 영역에 있는 결함보다 낮은 TCD를 갖는다.
하나의 특징에 따라서, 결함 중대성 점수(defect severity score:이하 DSS)는 평균 CD 편차(ACD), 최대 CD 편차들(MCDs), CD 편차에 대한 허용오차(TCD) 및 사용되는 노출의 최대 횟수를 나타내는 변수 N을 이용하여 계산될 수 있다. 이러한 결함 중대성 점수를 계산하는 하나의 전형적인 방정식은 다음과 같다:
일실시예에서, 결함 인쇄적성 분석 발생기는 영향 보고(impact report)에서 1에서부터 10까지의 스케일을 갖는 DSS를 출력한다. 이러한 영향 보고는 결함 인쇄적성 분석에서 사람의 실수를 줄이기 위해 사용될 수 있다. 예를 들면, 소정의 DSS 점수는 인쇄된 피처(검사 시스템에 의해 시뮬레이팅된 바와 같이)가 중요한 성능 이슈를 가지지만, 물리적 마스크의 수리가 가능함을 가리킬 수 있다. 반면에, 이상의 것보다 더 높은 DSS 점수는 성능 이슈뿐만 아니라 물리적 마스크의 재제작이 추천됨을 가리킬 수 있다. 그리하여, 각 수에 대해서 결합된 의미를 갖는 수치적 결과를 제공함으로써, 기술자는 실수없이 다음 행동, 즉 물리적 마스크의 수리나 물리적 마스크의 재제작을 향해 효율적으로 나아갈 수 있을 것이다.
또다른 특징에서, 결함 인쇄적성은 다양한 처리 윈도우를 이용하여 객관적으로 또한 평가될 수 있다. 실례적인 처리 윈도우는 디포커스 대 노출 편차 또는 초점 깊이 대 노출 관용도(exposure latitude)의 그래프에 의해 제공되는 것이다. 이들 그래프 상의 곡선은 무결함 영역뿐만 아니라 결함을 포함하는 영역을 나타낸다. 이들 곡선 내에 맞추어져 있는 가장 큰 사각형은 노출 디포커스 윈도우라 불리며, 여기서 공통 처리 윈도우는 다수의 노출 디포커스 윈도우의 교차이다. 공통 처리 윈도우 내에 들어오는 초점과 노출치는 허용오차 내에서 레지스트 피처, 예를 들면 CD를 생산하며, 반면에 처리 윈도우 밖에 있는 초점과 노출치는 허용오차 밖의 레지스트 피처를 생산한다. 그리하여, 피처와 결합된 처리 윈도우를 분석하는 것은 결함의 근접에 기초해 있는 피처의 인쇄적성을 판정하는 객관적인 수단을 제공할 수 있다. 일실시예에서, 결함 인쇄적성 분석 발생기는 물리적 및 기준 마스크에서 제공된 피처에 대한 공통 처리 윈도우를 결정할 수 있으며, 영향 보고에서 이러한 정보를 제공할 수 있다.
영향 보고는 물리적 마스크에 수행될 수 있는 수리를 분석하는데 유리하게 사용될 수 있다. 구체적으로, 영향 보고(또는 그것의 일부분)을 사용할 때, 비트맵 편집기는 하나나 그 이상의 결함의 효과를 제거하거나 심대히 최소화하기 위해 물리적 마스크에 가능한 정정을 가리킬 수 있다. 비트맵 편집기는 이후 이들 정정을 포함하는 시뮬레이팅된 마스크(수리된 마스크)를 출력할 수 있다.
이후, 수리된 마스크는 검사 도구에 의해서 검사될 수 있고, 수리된 마스크에 제공된 가능한 정정의 성공을 가리키는 새로운 영향 보고와 시뮬레이팅된 새로운 웨이퍼 이미지를 생성하기 위해 웨이퍼 이미지 발생기에 의해 사용될 수 있다. 만약 정정이 수용가능하다면, 비트맵 편집기는 정정 정보를 물리적 마스크의 수리를 위해 마스크 수리 도구에 직접 제공할 수 있다. 만약 고객이 추가적인 최적화나 다른 파라미터의 분석을 원한다면, 전술한 처리는 정정이 수용가능한 범위 내에 있다고 간주되거나 소정의 결과가 물리적 마스크를 수리함으로써 얻어질 수 없음을 비트맵 편집기가 가리킬 때까지 반복될 수 있다.
일실시예에서, 비트맵 편집기는 최적화된 마스크 기록 전략을 또한 가리킬 수 있으며, 예를 들면 어떤 결함에 대해서 사용될 수 있는 어떤 도구를 식별할 수 있다. 추가적으로, 비트맵 편집기는 고객 시간이나 고객 제한을 가리키는 입력을 수신할 수 있으며, 그리하여 비트맵 편집기로 하여금 이들 고객 파라미터에 기초하여 수리 처리를 최적화할 수 있도록 한다. 본 발명의 또다른 실시예에서, 비트맵 편집기는 웨이퍼 수리 도구에 정보를 제공하기 위해 사용될 수 있다. 구체적으로, 비트맵 편집기는 마스크 수리의 효력 대 웨이퍼 수리를 비교하는 프로그램을 포함할 수 있다.
결함 인쇄적성 분석은 개별적인 결함이나 복수의 결함에 대해 수행될 수 있다. 일실시예에서, 검사 도구와 웨이퍼 이미지 발생기는 물리적 마스크에서 발견된 모든 결함에 대한 출력을 자동적으로 제공한다. 그리하여, 결과적인 영향 보고는 모든 결함에 대해 결함 중대성 점수를 포함할 수 있다.
대안적으로, 원한다면, 영향 보고는 어떤 값 이상의 결함 중대성 점수만을 포함할 수 있다. 이러한 맞춤 영향 보고는 비트맵 편집기에 제공될 수 있으며, 계속해서 마스크 수리 도구에 제공될 수 있다. 그러므로, 검사 시스템은 완전하고 자동화된 결함 검출 및 정정 처리를 포함할 수 있으며, 그리하여 마스크를 분석 및수리하는데(적절하다면) 필요한 시간을 심히 줄일 수 있다.
결함 인쇄적성 분석은 OPC 피처를 평가하는 필요를 다른 피처로부터 분리적으로 또한 제거한다. 만약 OPC 피처가 (결함 때문에) 프린팅된다면(시뮬레이팅된 웨이퍼 이미지에 의해 결정된 바대로), 결함 분석은 CD 변화가 결정되는대로 이러한 에러를 가리킬 수 있다. 그리하여, OPC 피처에 관하여 임의의 복잡한 설계 규칙을 제거함으로써, 검사 시스템은 OPC 피처에 나쁜 영향을 미치는 결함을 식별하는 빠르고, 신뢰성있으며, 정확한 방법을 보장한다.
머리말
검사 시스템/처리에 따라서, 모든 불규칙성, 즉 잠재적인 결함은 실제 결함으로 특징지워진다. 일실시예에서, 중대성 점수는 각 결함에 대해 제공되며, 그리하여 마스크를 사용하거나 그 마스크를 수리하는 것의 결과를 정확히 평가하기 위한 의미있는 정보를 고객에게 제공한다. 결함 중대성 점수는 결함에 근접한 피처의 임계 치수에 있어서의 변화에 관계있는 많은 인자에 기초하여 계산된다. 또다른 실시예에서, 처리 윈도우는 마스크 결함 인쇄적성에 관계된 객관적인 정보를 제공하기 위해서 사용될 수 있다. 라인 에지 거칠기와 콘택트 코너 라운딩과 같은 마스크 품질에 관계된 마스크의 어떤 측면들은 또한 정량화될 수 있다.
IC의 레이아웃: 임계 영역의 식별
도 3은 IC의 임계 영역을 식별하는 것을 용이하게 하는 피처를 예시한다. 구체적으로, 간략화된 처리(300)는 마스크에 있는 결함을 식별하고, 그 결함이 임계 영역에 위치하는지의 여부를 판정하기 위한 적어도 하나의 다른 마스크를 이용하는 것을 포함한다. 예를 들면, 마스크(301)는 IC에 있는 한 층의 폴리실리콘 영역(310)을 나타낸다. 2개의 결함(304 및 305)이 폴리실리콘 영역(310) 상에서 식별된다. 두 결함이 크기가 동일하다는 것을 주목하라. 마스크(302)는 IC에 있는 또다른 층의 확산 영역(311)을 나타낸다.
처리(300)는 마스크(301 및 302)와 같은 다양한 마스크에 있는 피처에 관계된 결함의 위치와 크기를 판정하는 것을 포함한다. 예를 들면, 결함(304 및 305)은, 마스크(301) 상의 폴리실리콘 영역(310)에 대하여 단독으로 관찰될 때, 종래의 검사 장치에 의해서 중요하지 않은 것으로 간주될 수 있으며, 종래 검사 장치는 일반적으로 크기에 의해 결함을 판정한다. 대조적으로, 처리(300)는 크기에 더하여, 마스크(302) 상에 제공된 확산 영역(311)에 관계된 결함(304 및 305)의 위치를 고려한다. 구체적으로, 처리(300)는 IC의 임계 영역을 식별하기 위해서 다양한 마스크로부터의 정보를 이용한다. 복합 IC 레이아웃(303)은 폴리실리콘 영역(310)과확산 영역(311)의 중첩을 임계 영역(306)으로 식별한다. 최종 IC의 핵심 피처로서, 임계 영역(306), 즉 게이트는 최종 IC 디바이스에 있는 트랜지스터의 적절한 성능을 보장하기 위해 고정도의 정밀도를 필요로 한다. 그리하여, 다수의 마스크와 그곳에 있는 피처들을 분석함으로써, 결함(305)은 그것이 작고 비임계 영역(예를 들면, 인터코넥트)에 있기 때문에 중요하지 않은 것으로 특징지워질 수 있으며, 반면에 결함(305)은 그것이 비록 작지만 그것이 IC의 임계 영역(예를 들면, 게이트)에 있기 때문에, 중요한 것으로 특징지워질 수 있다.
아래에서 상술될 바와 같이, 임계 영역에 있는 결함은 일반적으로 비임계 영역에 있는 결함보다 더 높은 결함 중대성 점수를 갖는다.
CD 변동: 결함과 이웃 피처의 식별
도 4A는 IC에 있는 한 층의 다양한 폴리실리콘 피처를 나타내는 간략화된 마스크(400)를 예시한다. 마스크(400)는 이웃 폴리실리콘 피처(404와 405)에 영향을 미칠 수 있는 3개의 결함(401, 402, 403)을 포함한다. 이 예에서, 결함(401, 402, 403)은 크기가 동일하다고 가정한다.
일반적으로, 결함은 덜 복잡한 영역에서보다 복잡한 영역에서 더 큰 영향을 갖는다. 그리하여, 거리 X가 거리 Y 보다 작다고 가정할 때, 거리 X 만큼 떨어진 피처에 의해 정의된 영역에 위치하고 있는 결함은 거리 Y 만큼 떨어진 피처에 의해 정의된 영역에 위치하고 있는 결함보다 더 많은 인쇄적성 효과를 가질 수 있다. 그러나, 이러한 일반 규칙은 심대한 제한을 갖는다.
도 4B를 참조하면, 각 결함은 이웃 피처에 관계된 위치에 따라서 분석될 수 있다. 예를 들면, 결함(401)이 피처(405)로부터 거리 d1(A) 만큼 떨어져 있고, 피처(404)로부터 거리 d1(B) 만큼 떨어져 있으며, 거리 d1(A)는 거리 d1(B)와 실질적으로 동일하다고 가정해 보자. 추가로, 결함(403)이 피처(405)로부터 거리 d3(A) 만큼 떨어져 있고, 피처(404)로부터 거리 d3(B) 만큼 떨어져 있으며, 거리 d3(A)는 거리 d3(B)와 실질적으로 동일하다고 가정해 보자. 이 예에서, 결함(401)은 결함(403)보다 마스크(400)에 더 큰 인쇄적성 영향을 끼칠 것이다. 그러므로, 일반적인 규칙은 결함(401과 403)에 적용된다.
그러나, 마스크는 피처(405)로부터 거리 d2(A)(즉, 0) 만큼 떨어져 위치하고, 피처(404)로부터 거리 d2(B) 만큼 떨어져 위치하는 결함을 또한 포함하고 있다. 이 경우에, 결함(402)은 결함(401)보다 피처(405)에 더 많은 인쇄적성 영향을 끼칠 수 있을 것이다. 더욱이, 결함(402)은 결함(403)보다 피처(404)에 더 작은 인쇄적성 영향을 아마도 끼칠 것이다. 그리하여, 피처들의 간격에 제한된 일반적인 규칙은 인쇄적성 영향에 대한 정확한 지시를 제공하지 않는다.
이러한 문제에 대한 한가지 해법은 각 결함(각각 결함(401, 402, 403)과 같은)으로부터 이웃 피처들까지의 거리(d1, d2, d3와 같은)를 측정하는 것이다. 결함의 크기의 측정과 결합된 이들 거리는 인쇄적성 영향을 제공하기 위해 복수의 설계 규칙 내로 인자화될 수 있을 것이다. 그러나, 이러한 분석은 계산이 매우 복잡하며, 그리하여 고객에게 의미있는 정보를 제공하기 위해 필요한 시간을 증가시킨다. 더욱이, 결함의 크기와 이웃 피처로부터의 결함의 거리가 알려진다하더라도,이웃 피처에 대한 결함의 실제 영향은 마스크의 단순한 검사에 의해서 완전히 예측될 수는 없다.
결함 인쇄적성 분석
그러므로, 일실시예에 따라서, 제한된 수의 변수가 분석된다. 일실시예에서, 이러한 제한된 수의 변수는 마스크의 임계 치수(CD)를 포함한다. 구체적으로, 결함의 근접 때문에 발생하는 피처에서의 임의의 CD 변화는 결정될 수 있다. 이러한 CD 변화를 분석하기 위해서, 마스크 이미지는 도 5를 참조하면서 설명되는 바대로 시뮬레이션될 수 있다.
도 5는 결함 인쇄적성을 분석하는 처리(500)를 예시한다. 처리(500)에서, 물리적 마스크(501A)와 기준 마스크(501B)는 검사 도구(502)에 의해서 분석된다. 일실시예에서, 기준 마스크(501B)는 물리적 마스크(501A)와 동일한 레이아웃을 가지면서 어떠한 결함도 갖지 않는 물리적 마스크일 수 있다. 또다른 실시예에서, 기준 마스크(501B)는 물리적 마스크(501A)의 레이아웃으로부터 시뮬레이팅된 이미지일 수 있다.
일실시예에서, 검사 도구(502)는 물리적 마스크(501A)의 전체 또는 일부와 기준 마스크(501B)의 상응하는 부분을 스캔하기 위해 이미지 획득기(503)를 포함한다. 이미지 획득기(503)는 고해상도 광학 현미경, 스캐닝 전자 현미경(SEM), 초점 이온 빔, 원자력 현미경, 또는 근장(near-field) 광학 현미경과 같은 고정밀도 이미징 장치를 포함할 수 있다. 이미지 획득기(503)는 이미지 장치로부터 이미지 정보를 디지털화하는 인터페이스 장치를 또한 포함할 수 있다. 일실시예에서, 인터페이스 장치는 이미지를 나타내는 그레이 스케일 비트 이미지를 발생하는 CCD 카메라를 포함한다.
결함 검출 처리기(504)는 물리적 마스크(501A)로부터의 이미지와 이미지 획득기(503)의해 제공된 기준 마스크(501B)로부터의 이미지를 비교하며, 물리적 마스크(501A)의 임의의 결함을 식별한다. 일실시예에서, 결함 검출 처리기(504)는 마스크(501)를 스캐닝하기 위한 명령의 프로그램을 실행하는 컴퓨터를 포함한다. 결함이 식별되면, 결함 검출 처리기(504)는 기준 마스크(501B)로부터의 상응하는 영역뿐만 아니라 물리적 마스크(501B)로부터의 결함을 둘러싸는 영역과 그 결함의 이미지를 제공하기 위해 이미지 발생기(505)에 신호를 보낸다. 이미지 발생기(505)는 양 마스크(501)로부터의 무결함 영역의 이미지를 또한 제공한다. 일실시예에서, 이미지 발생기(505)는 결함 영역과 무결함 영역 모두를 포함하는 이미지를 제공할 수 있다. 아래에서 상세히 설명되는 결함 인쇄적성 분석을 용이하게 하기 위해서, 이들 결함 및 무결함 영역의 좌표는 발생된 영역 이미지 데이터와 함께 전송될 수 있다. 다음을 주목하라. 즉, 만약 기준 마스크(501B)가 시뮬레이팅된 레이아웃으로서 제공되고, 물리적 마스크(501A)의 완전한 이미지가 발생된다면, 기준 마스크(501B)의 시뮬레이팅된 레이아웃 화일은 라인(506B)에 의해 지시된 바와 같이 이미지 발생기(505)에 직접 제공될 수 있다.
일실시예에서, 검사 도구(502)는 라인(506D)에 의해 지시된 바와 같이 물리적 마스크(501A)와 기준 마스크(501B) 모두로부터의 영역 이미지 데이터를 실시간데이터 피드로 웨이퍼 이미지 발생기(509)에 제공한다. 또다른 실시예에서, 이러한 데이터는 디지털화 장치(507)에 의해 디지털화되고, 저장 장치(508)에 저장되며, 차후에 웨이퍼 이미지 발생기(509)에 제공된다. 저장 장치(508)는 컴퓨터 하드 디스크 드라이브, CDROM, 서버를 포함하는 임의의 유형의 적합한 매체에 윈도즈 BMP와 같은 형식으로 이들 디지털화된 정보를 저장할 수 있다. 물리적 마스크(501A)를 전부 분석하는 또다른 실시예에서, 이미지 획득기(503)에 의해 제공되는 스캔된 이미지(들)은 라인(506A)로 지시된 바와 같이 이미지 발생기(505) 또는 라인(506C)에 의해 지시된 바와 같이 디지털화 장치(507)에 제공될 수 있다.
웨이퍼 이미지 발생기(509)는 입력 장치(510)와 이미지 시뮬레이터(511)를 포함한다. 입력 장치(510)는 일반적으로 검사 도구(502) 및/또는 저장 장치(508)로부터 이미지 데이터의 유형을 읽는 하드웨어, 즉 Matrox™, Meteor™, 또는 Pulsar™에 의해서 제공되는 디지털화 이미지 그래버(grabber)(실시간 데이터 피드에 대한)와 같이 알려진 하드웨어를 포함한다. 일실시예에서, 이미지 시뮬레이터(511)는 적어도 64MB 메모리를 갖는, 개인용 컴퓨터나 워크스테이션과 같이 적절한 플랫폼 상에서 200MHz로 윈도즈/DOS를 실행하는 컴퓨터-구현 프로그램을 포함한다. 이미지 시뮬레이터(511)는 입력 장치(510)로부터 이미지 데이터를 수신하고, 이미지 데이터, 즉 물리적 마스크(501A)와 기준 마스크(501B)를 위해 이미지 데이터의 시뮬레이션을 발생시킨다. 이들 시뮬레이션은 여기서 웨이퍼 이미지(Phy)(물리적 마스크에 대해)(517A) 및 웨이퍼 이미지(Ref)(기준 마스크에 대해)(517B)로서 참조된다.
일실시예에서, 시뮬레이터(511)는 추가로 복수의 리소그래피 조건(512)을 수신한다. 이들 조건은 물리적 마스크(501A)가 고객에 의해 노출된 리소그래피 조건과 시스템 파라미터에 특정한 데이터를 포함한다. 그러한 데이터는 예를 들면, 시스템에서 사용되는 조명의 파장(λ), 시스템의 수치 애퍼처(NA), 시스템의 일치값(σ), 조명 유형(예를 들면, 오프-축 또는 환형), 디포커스, 노출 수준, 렌즈 수차, 기판 상태, 설계의 임계 수치(CD)를 포함할 수 있다. 일실시예에서, 각각의 파라미터는 값의 범위를 포함할 수 있으며, 그리하여 이미지 시뮬레이터(511)가 서로다른 조합에서 가능한 리소그래피 조건의 범위에 기초하여 복수의 시뮬레이션을 발생시키도록 허용한다. 예를 들면, 가우스 분포와 같은 서로다른 유형의 분포를 갖고서 몬테 카를로 시뮬레이션에 의해 수행될 수 있다. 그리하여, 웨이퍼 이미지(Phy)(517A)와 웨이퍼 이미지(Ref)(517B)는 만약 광학적 리소그래피 노출이 리소그래피 조건(512)와 동일한 조건하에서 수행되었다면 물리적 마스크(501A)와 기준 마스크(501B)(또흔 그것의 일부분)가 발생시킬 시뮬레이팅된 이미지를 나타낼 수 있다.
변환 인자
이상의(혹은 근접한) 파장 설계에 대해서, 레이아웃에서 사용되는 피처에 대한 설계 규칙은 일반적으로 동일한 인자에 의해 동시적으로 스케일된다. 어떤 규칙이 다른 규칙만큼 빠르게 스케일되지 않는 경우에, 일반적으로 상대적으로 짧은 시간 내에 수행되는 약간의 수정이 데이터베이스에 행해질 수 있다. 그러나, 대조적으로, 서브-파장 제조 플로우에서의 제조 단계는 고도로 비선형적이다. 구체적으로, 임의의 마스크 에러는 웨이퍼 상의 프린팅된 패턴에서 증폭될 수 있으며, 결과적으로 최종적인 디바이스 성능에 나쁜 영향을 끼칠 수 있다.
그러므로, 서브-파장 기술에서 웨이퍼 이미지(517)의 정확도를 향상시키기 위해서, 이미지 시뮬레이터(511)는 일실시에에 따라서 변환 인자(513)를 또한 수신할 수 있다. 한 경우에, 변환 인자는 마스크 에러 향상 인자(MEEF)라 불린다.
만약 변환 인자가 "알려져" 있다면, 마스크 CD와 변환 인자의 곱셈이 행해질 수 있다. 현재, "알려진" 변환 인자는 일반적으로 이론적인 추정치이다. 그러나, 이들 이론적인 추정치는 많은 이유로 부정확할 수 있다. 우선, 출원인에 의해 인식된 바와 같이, 변환 인자는 마스크의 피처에 기초하여 변화할 수 있다. 예를 들면, 고립된 라인의 변환 인자는 빽빽하게 패킹된 라인의 변환 인자와 다를 수 있다. 더욱이, 콘택트에 대한 변환 인자는 고립된 라인이나 빽빽하게 패킹된 라인의 변환 인자와 다를 수 있다. 둘째, 마스크 상의 설계 이슈에 추가하여, 스텝퍼와 포토레지스트를 포함하는 제조 공정의 모든 측면들은 예를 들면 마스크에 있는 특정 피처에 대한 변환 인자에 영향을 미칠 수 있다. 그러므로, 설계 이슈와 공정 파라미터를 설명하는데 실패하는 이론적인 추정치는 선천적으로 부정확하다.
대안적으로, 만약 이론적인 수정치가 부정확하다면, 실제 웨이퍼는 제조될 수 있고, 디바이스 CD는 변환 인자(들)를 결정하기 위해 SEM을 사용하면서 웨이퍼 상에서 측정될 수 있다. 그러나, 이러한 처리는 일반적으로 변환 인자(들)를 측정하고 계산하기 위해 수십 또는 심지어 수백개의 마스크 피처를 측정하고 프린팅하는 것을 포함한다. 그러므로, 이러한 처리는 고도로 비싸며, 그리하여 상업적으로 비실용적이다.
전술한 문제에 대한 비용-효과적인 해법이 제공될 수 있다. 도 6은 정확한 변환 인자를 발생시키는 한 방법(600)을 예시한다. 방법(600)에서, 테스트 패턴이 단계(601)에서 테스트 마스크에 제공될 수 있다. 테스트 패턴은 가변폭을 갖는 고립된 라인, 가변폭을 갖는 빽빽하게 패킹된 라인, 다양한 크기의 콘택트들을 포함할 수 있다. 이 시점에서, 단일 웨이퍼는 단계(602)에서 프린팅될 수 있다. CD에서의 임의의 변화를 포함하는 결함 분석은 단계(603)에서 웨이퍼 상의 각 테스트 패턴에 대해서 주목될 수 있다. 이러한 정보로부터, 각 피처에 대한 변환 인자는 단계(604)에서 정확히 계산될 수 있다. 제한된 수의 추가적인 웨이퍼는 이들 제조 공정을 위한 변환 인자를 얻기 위해서 다양한 처리를 이용하여 또한 프린팅될 수 있다.
테스크 마스크로부터 프린팅된 웨이퍼는 변환 인자에 영향을 미칠 수 있는 샵-특정 정보를 또한 포함할 수 있다. 구체적으로, 공정 변동은 하나의 샵에서 다른 샵까지 변동할 수 있으며, 일반적으로 변동한다. 이러한 변동은 웨이퍼상에서 약간의 CD 변화를 초래하며, 이것은 일반적으로 산업계에서 "바이어스(bias)"라 불린다. 전술한 바와 같이, 샵에서 하나의 웨이퍼, 또는 제한된 수의 웨이퍼를 프린팅하고 테스트 마스크를 이용함으로써, 고객은 그 샵의 공개된 바이어스를 검증하거나 그 샵의 바이어스를 독립적으로 결정할 수 있다.
전술한 테스트 패턴을 갖는 테스트 마스크는 사용자에게 샵 바이어스뿐만 아니라 정확한 변환 인자를 제공하여, 고객으로 하여금 수용불가능한 CD 변화(일반적으로 설계 공정에서의, 마스크 정정 동작에서의(아래에서 추가로 설명되는 바와 같이) 또는 다른 샵을 선택함에 의한)를 잠재적으로 보상할 수 있게 한다.
도 7은 정확한 변환 인자를 발생시키는 다른 방법(700)을 예시한다. 방법(700)에서, 테스크 패턴은 단게(701)에서 테스트 마스크에 제공될 수 있다. 방법(600)과 유사하게, 이 테스트 패턴은 가변폭을 갖는 고립된 라인, 가변폭을 갖는 빽빽하게 패킹된 라인, 다양한 크기의 콘택트들을 또한 포함할 수 있다. 이 시점에서, 테스트 마스크로부터의 웨이퍼 이미지는 이미지 시뮬레이터(511)(도 5)를 이용해서 단계(702)에서 시뮬레이팅될 수 있다. CD에서의 임의의 변화(아래에서 자세히 설명될)를 포함하는 결함 분석은 단계(703)에서 시뮬레이팅된 웨이퍼 이미지상의 각 테스트 패턴에 대해서 주목될 수 있다. 이러한 정보로부터, 각 피처에 대한 변환 인자는 단계(704)에서 정확히 계산될 수 있다. 임의의 수의 추가적인 웨이퍼는 이들 제조 공정을 위한 변환 인자를 얻기 위해서 다양한 처리(예를 들면, 리소그래피 조건(512))를 이용하여 시뮬레이팅될 수 있다. 추가로, 다음을 주목하라. 즉, 도 6을 참조하면서 설명된 바와 같이, 샵 바이어스는 리소그래피 조건(512)에 또한 포함될 수 있으며, 그리하여 이 실시예에 의해 발생된 변환 인자의 정확도를 추가로 향상시킨다.
방법(700)은 웨이퍼의 제조에 필요한 시간뿐만 아니라 프린팅된 웨이퍼와 결합된 비용을 제거하기 때문에 고도로 비용-효과적이다. 더욱이, 시뮬레이션 환경 때문에, 방법(700)은 실제 제조 전에 시스템 파라미터를 최적화하는데 있어서 심대한 유연성을 제공한다.
이미지 시뮬레이션
이미지 시뮬레이터(511)는 집적회로 패턴에 적용된 대로의 홉킨스 모델의 간략화된 버전을 이용함으로써 광학적 리소그래피의 공정을 근사한다. 이 간략화된 버전에서, 홉킨스 모델은 입력 데이터에 적용된 복수의 저역통과 필터로서 간주된다. 이들 저역통과 필터로부터의 출력 이미지는 시뮬레이팅된 이미지(즉, 시뮬레이팅된 웨이퍼(Phy) 이미지(517A) 및 시뮬레이팅된 웨이퍼(Ref) 이미지(517B))를 발생하기 위해서 부가된다. 이미지 시뮬레이터(511)에 의해 사용되는 대로의 홉킨스 모델과 관련된 추가적인 정보는 미국 특허 제 09/130,996호에 제공되어 있으며, 그리하여 여기서 상세히 설명하지는 않는다.
결함 중대성 점수 계산
결함 인쇄적성 분석 발생기(515)는 이미지 시뮬레이터(511)로부터 시뮬레이팅된 웨이퍼 이미지(517)을 수신한다. 발생기(515)는 적어도 64MB 메모리를 갖는, 개인용 컴퓨터나 워크스테이션과 같이 적절한 플랫폼 상에서 200MHz로 윈도즈/DOS를 실행하는 컴퓨터-구현 프로그램을 포함한다. 일실시예에서, 이미지 시뮬레이터(511)와 발생기(515)는 동일한 플랫폼상에서 실행된다.
도 8A 내지 8C는 발생기(515)와 결합된 컴퓨터-구현 프로그램의 다양한 특징을 예시한다. 도 8A는 결함 중대성 점수를 발생하는 방법(800)이 전처리단계(810), 이차원 분석 단계(820), 결함 분석 단계(830), 임계 영역 지시 단계(840)을 포함함을 나타낸다.
전처리 단계(810)에서, 시뮬레이팅된 웨이퍼(Phy) 이미지(517A) 및 시뮬레이팅된 웨이퍼(Ref) 이미지(517B)가 정렬된다. 정렬은 무결함 패턴이나(시뮬레이팅된 이미지(517)가 결함 및 무결함 영역 모두를 포함한다고 가정해서) 결함/무결함 영역에 대해 이미지 발생기(505)에 의해 제공된(그리고 계속해서 입력 장치(510), 이미지 시뮬레이터(511), 최종 결함 인쇄적성 분석(515)로 전송된) 좌표를 이용해서 행해질 수 있다. 이들 패턴/영역이 정렬될 때, 시뮬레이팅된 이미지(517)상에 제공된 피처들이 또한 정렬된다.
정렬 후에, 이차원 분석 단계(820)가 처리될 수 있다. 추가로 상세히 이차원 분석 단계(820)을 설명하는 도 8B를 구체적으로 참조하면서, 시뮬레이팅된 웨이퍼(Phy) 이미지(517A) 상의 결함이 단계(821)에서 식별된다. 이후, 시뮬레이팅된 웨이퍼(Ref) 이미지(517B)의 상응하는 영역이 단계(822)에서 식별된다. 이미지 발생기(505)에 의해 제공된 좌표는 식별 단계(821, 822)에 대해서 사용될 수 있음을 주목하라. 단계(823)에서, 시뮬레이팅된 웨이퍼(Phy) 이미지(517A)에 있는 결함에 근접한 임의의 피처(여기서 또한 이웃 피처로서 참조되는)가 식별된다. 최종적으로, 단계(824)에서, 시뮬레이팅된 웨이퍼(Ref) 이미지(517B) 상의 상응하는 피처(들)이 식별될 수 있다.
"근접"이란 용어는 결함의 근접의 결과로서 CD에 변화를 일으키는 임의의 피처를 지칭할 수 있다. 그러나, 하나의 단순한 구현예에서, 결함의 소정의 거리 이내에 있는 임의의 피처는 이웃 피처로서 식별될 수 있다. 또다른 실시예에서, 결함의 크기(단계(821)에서 결정될 수 있는) 및 이웃 피처로부터의 결함의 거리(단계(823)에서 결정될 수 있는) 모두가 설계 규칙표에 있는 측정치와 비교된다. 설계 규칙표는 각각의 결함 크기(또는 크기 범위)에 대해서, 결함으로부터 최대 거리를 식별할 수 있는데, 여기서 만약 피처가 결함으로부터 최대 거리 이내에 위치한다면, 그 피처는 이웃 피처로 특징화된다.
이차원 분석 후에, 결함 분석 단계(830)가 처리된다. 결함 분석에서, 무결함 영역은 평균 CD 편차(ACD)(아래에서 상세히 설명될)를 계산하기 위해서 분석되며, 결함 영역은 상대 CD 편차(RCD)(아래에서 상세히 설명될)를 계산하기 위해서 분석된다. ACD와 RCD의 계산은 임의의 순서로 행해질 수 있음을 주목하라. 도 8C는 결함 분석 단계(830)를 추가로 상세히 설명한다. 구체적으로, 단계(831)에서, 시뮬레이팅된 웨이퍼 이미지(517)상에 있는 무결함 영역에 있는 하나나 그 이상의 피처에 대한 CD와 시뮬레이팅된 웨이퍼 이미지(517)상에 있는 결함 영역에 있는 임의의 이웃 피처에 대한 CD가 측정된다.
ACD를 결정하기 위해서, 시뮬레이팅된 웨이퍼 이미지(물리적 마스크)(517A)상에 있는 무결함 피처의 CD는 우선 시뮬레이팅된 웨이퍼 이미지(기준 마스크)(517B)상에 있는 상응하는 무결함 피처의 CD로부터 차감된다. 이 차는 이후 시뮬레이팅된 웨이퍼 이미지(기준)(517B)상에 있는 동일한 무결함 피처의 CD에 의해 나누어진다. ACD 계산의 정확도를 개선하기 위해, 다수의 피처가 분석될 수 있다. 구체적으로, N개의 ACD가 더해지고 이후 N에 의해 나누어질 수 있는데, 여기서 N은 1과 같거나 더 큰 정수이다. 예를 들면, 만약 두 개의 피처가 분석된다면, ACD는 다음의 식으로 계산될 수 있다: [(CD(R1) - CD(P1))/CD(R1) + [(CD(R2) - CD(P2))/CD(R2)]/2), 여기서, R은 기준 마스크의 시뮬레이팅된 웨이퍼 이미지를 나타내고 P는 물리적 마스크의 시뮬레이팅된 웨이퍼 이미지를 나타낸다. ACD는 서로다른 무결함 피처들이나 동일한 무결함 피처에 대해서 결정될 수 있음을 주목하라. 예를 들면, 일실시예에서, 전형적인 게이트는 게이트 폭을 가로질러 매 2nm(FET 채널의 평행 슬라이스)마다 커팅될 수 있다. 다음을 주목하라. 즉, CD 추정은 KLA-Tencor, Applied Materials, LaserTech, 또는 다른 임의의 레티클 검사/도량형 도구 판매자에 의해 제공되는 표준적인 마스크 검사 장비을 이용해 수행될 수 있다.
일실시예에서, 서로다른 노출이 각 피처에 대한 복수의 ACD를 제공하는데 상요될 수 있다. 다음을 주목하라. 즉, 사용되는 노출은 실제 제조 공정에서 사용될 노출 수준으로부터 이탈한은 값의 범위일 수 있으며, 그리하여 사용자에게 최악의 결과에 대한 가치있는 정보를 제공한다. 그러한 노출 조건은 시뮬레이팅될 수 잇는 리소그래피 조건(512)(도 5)에 일반적으로 포함된다는 것을 추가적으로 주목하라. 그러므로, 도 8C를 다시 참조하면, 각 노출의 ACD는 단계(832)에서 계산될 수 있다.
단계(833)에서, 상대 CD 편차(RCD)는 각 노출에서 식별된 각각의 이웃 피처(시뮬레이팅된 이미지의 검출 영역에서 식별된 대로)에 대해서 계산된다. 예를 들면, 각 노출에 대해서, 시뮬레이팅된 웨이퍼 이미지(901)(R)의 검출 영역(901)(R)에 있는 식별된 이웃 피처(904(R)과 같은)의 CD는 시뮬레이팅된 웨이퍼 이미지(901)(P)에 있는 검출 영역(901)(P)에 있는 동일한 피처(이 경우, 904(P))의 CD로부터 차감된다. 이 차는 이후 시뮬레이팅된 웨이퍼 이미지(901)(R)에 있는 식별된 이웃 피처의 CD에 의해 나누어진다(즉, (CD(P) - CD(R))/CD(R)). 최종적으로, 식별된 이웃 피처의 최대 RCD(MCD)는 단계(834)에서 각 노출에 대해서 결정될 수 있다.
도 3을 참조하면서 설명한 바와 같이, 게이트와 같이 임계 영역에 있는 피처는 최종 IC 디바이스에서 트랜지스터의 적절한 성능을 보장하기 위해서 고정도의 정밀도를 필요로 한다. 그리하여, 복수의 마스크와 그곳에 있는 피처들을 분석함으로써, 결함은 그것이 작고 비임계 영역(즉, 인터코넥트)에 있기 때문에 중요하지 않은 것으로 특징지워질 수 있으며, 반면에 그것이 비록 작지만 IC의 임계 영역(예를 들면, 게이트)에 있기 때문에 중요한 것으로 특징지워질 수 있다.
도 5를 참조할 때, 결함 왼쇄적성 분석 발생기(515)는 임계 영역 식별 발생기(514)로부터 정보를 또한 수신한다. 임계 영역 식별 발생기(514)는 IC를 제조하기 위해 사용되는, 물리적 마스크(501A)와 같은 물리적 마스크를 분석하기 위한 임의의 표준적인 패턴 인식 도구(하드웨어 및 소프트웨어 모두)를 포함할 수 있다. 사용되는 구체적인 도구에 상관없이, 임계 영역 식별 발생기(514)는 결함 인쇄적성 분석 발생기(515)에 임계 영역으로 지시된 각 마스크의 영역을 식별하는 정보를 제공한다. 이 정보를 갖고서, 결함 인쇄적성 분석 발생기(515)는 결함이 임계 영역 내에 있는지의 여부를 단계(840)에서 판정할 수 있다(도 8A).
임계 영역에 있는 결함은 일반적으로 상대적인 CD 변화에 대해 더 낮은 허용오차를 가질 것이다. 일실시예에서, CD 변화의 허용오차(TCD)는 조회표에 의해 제공될 수 있다. 이러한 조회표는 경험과 다양한 마스크 스펙에 기초하여 마스크 품질 제어 엔지이어에 의해서 결정된 값들을 포함할 수 있다. 예를 들면, 임계 영역은 약 3%와 5% 사이의 TCD 범위를 가질 수 있으며, 반면에 매우 드문 피처를 갖는 비임계 영역은 약 10%에서 15% 사이의 TCD 범위를 가질 수 있다. 일실시예에서, 임계 영역 ID 발생기(514)는 이러한 조회표를 포함할 수 있다.
식 1은 결함 중대성 점수를 결정하는 예시적인 계산을 제공한다. 다음을 주목하라. 즉, 식 1은 전술된 ACD, MCD, TCD 변수를 포함하며, 특정 노출을 가리키는 변수 i와 분석된 전체 노출회수를 가리키는 변수 N을 추가로 포함한다.
도 9는 물리적 마스크로부터의 전형적인 부분(900)(P)를 예시한다. 부분(900)(P)은 결함 영역(901)(P)과 무결함 영역(902)(P)을 포함한다. 비숫한 방식으로, 도 9B는 부분(900)(P)에 상응하는 기준 마스크로부터의 부분(900)(R)을 예시한다. 부분(900)(R)은 결함 영역(901)(R)과 무결함 영역(902)(R)을 포함한다.
단계(810)에서 좌표나 무결함 패터을 이용함으로써, 시뮬레이팅된 웨이퍼 이미지에 있는 이들 부분/영역의 상응하는 위치가 정렬될 수 있다. 구체적으로, 예를 들면, 무결함 영역((902)(P) 및 (902)(R))의 시뮬레이팅된 웨이퍼 이미지가 정렬될 수 있다. 비숫한 방식으로, 결함 영역((901)(P) 및 (901)(R))의 시뮬레이팅된 웨이퍼 이미지가 정렬될 수 있다. 일단 영역(901과 902)가 정렬되면, 시뮬레이팅된 웨이퍼 이미지에 있는 피처는 또한 정렬된다. 그리하여, 예를 들면, 결함 영역(901)(P)의 피처들((904)(P) 및 (905)(P))은 전처리 단계(810)에서 결함 영역(901)(R)의 피처들((904)(R) 및 (905)(R))과 정렬된다.
이차원 분석 단계(820)에서, 마스크 부분(901)(P)의 시뮬레이팅된 웨이퍼 이미지에 있는 결함은 식별된다. 이 예에서, 화살표가 결함 영역(901)(P)에 있는 결함(903)를 가리킨다. 피처들((904)(P) 및 (905)(P))는 이후 결함(903)에 의해 영향을 받을 수 있는 이웃 피처로서 식별된다. 최종적으로, 마스크 부분(901)(R)의 시뮬레이팅된 웨이퍼 이미지에 있는 임의의 상응하는 피처가 식별될 수 있다. 이 예에서, 피처들((904)(R) 및 (905)(R))은 식별된다.
이 시점에서, 결함 분석 단계(830)이 처리될 수 있다. 도 10(A(1) 내지 A(3) 및 B(1) 내지 B(3))과 도 11(A(1) 내지 A(3) 및 B(1) 내지 B(3))은 부분((900)(P) 및 (900)(R))의 시뮬레이팅된 웨이퍼 이미지에 대한 결함 중대성 점수 계산의 응용을 예시한다. 무결함 피처에 대해서 평균 CD 편차를 계산하기 위해서, 다수의 피처가 일반적으로 측정된다. 예를 들면, 도 10A(1 내지 3)는 3가지 노출에 대한 물리적 마스크(900)(P)의 무결함 영역(902)(P)로부터의 시뮬레이팅된 웨이퍼 이미지를 나타낸다. 라인(1001(P) 내지 1006(P))은 3가지 노출에서 시뮬레이팅된 웨이퍼 이미지의 2개 무결함 피처에 대해 만들어진 컷(cut)들을 나타낸다. 구체적으로, 라인(1001(P)와 1002(P))는 제 1 노출에서의 2개 피처에 대해 만들어진 컷을 나타내며, 라인(1003(P)와 1004(P))는 제 2 노출에서의 동일 피처에 대해 만들어진 동일 컷을 나타내며, 라인(1005(P)와 1006(P))는 제 3 노출에서의 동일 피처에 대해 만들어진 동일 컷을 나타낸다.
비슷한 방식으로, 도 10B(1 내지 3)는 동일한 3가지 노출에 대한 기준 마스크(900)(R)의 무결함 영역(902)(R)로부터의 시뮬레이팅된 웨이퍼 이미지를 나타낸다. 라인(1001(R) 내지 1006(R))은 3가지 노출에서 시뮬레이팅된 웨이퍼 이미지의 2개 무결함 피처에 대해 만들어진 컷들을 나타내며, 여기서 이들 3개 컷은 컷들(1001(P) 내지 1006(P))에 상응한다. 그리하여, 라인(1001(R)와 1002(R))는 제 1 노출에서의 2개 피처에 대해 만들어진 컷을 나타내며, 라인(1003(R)와 1004(R))는 제 2 노출에서의 동일 피처에 대해 만들어진 동일 컷을 나타내며, 라인(1005(R)와 1006(R))는 제 3 노출에서의 동일 피처에 대해 만들어진 동일 컷을 나타낸다.
각 컷 라인(1001(P) 내지 1006(P) 및 1001(R) 내지 1006(R))은 결합된 CD를 제공한다. 그러므로, 참조의 편의를 위해, 라인(1001(P) 내지 1006(P) 및 1001(R) 내지 1006(R))은 이후 CD(1001(M) 내지 1006(M) 및 1001(R) 내지 1006(R))로 참조된다.
도 10A(1)과 10B(1)에 도시된 제 1 노출에 대해서, 평균 CD 편차는 다음과 같이 계산될 수 있다:
ACD(1) = [(1001(R) - 1001(P))/1001(R) + (1002(R) - 1002(P))/1002(R)]/2
한 실시예에서, CD(1001(R), 1001(P), 1002(R), 1002(P))의 실제 측정치는각각 266nm, 266nm, 322nm, 294nm이다. 이 값들 ACD(1)에 대한 식에 대입하면 산출치는 약 0.043nm이다.
도 10A(2)과 10B(2)에 도시된 제 2 노출에 대해서, 평균 CD 편차는 비슷한 방식으로 계산될 수 있다:
ACD(2) = [(1003(R) - 1003(P))/1003(R) + (1004(R) - 1004(P))/1004(R)]/2
한 실시예에서, CD(1003(R), 1003(P), 1004(R), 1004(P))의 실제 측정치는 각각 266nm, 266nm, 294nm, 294nm이다. 이 값들 ACD(2)에 대한 식에 대입하면 산출치는 약 0.0nm이다.
마지막으로, 도 10A(3)과 10B(3)에 도시된 제 2 노출에 대해서, 평균 CD 편차는 동일한 방식으로 계산될 수 있다:
ACD(3) = [(1005(R) - 1005(P))/1005(R) + (1006(R) - 1006(P))/1006(R)]/2
한 실시예에서, CD(1005(R), 1005(P), 1006(R), 1006(P))의 실제 측정치는 각각 252nm, 238nm, 294nm, 294nm이다. 이 값들 ACD(3)에 대한 식에 대입하면 산출치는 약 0.028nm이다.
결함 분석에서, 상대 CD 편차(RCD)는 각 노출 수준에 대해서 결함 영역에 있는 이웃 피처에 대해서 또한 계산된다. 도 11A(1 내지 3)은 3가지 노출에 대해서 결함 영역(901(P))에 있는 피처(904(P) 및 905(P))에 대한 시뮬레이팅된 웨이퍼 이미지를 예시한다. 라인(1101(P) 내지 1106(P))은 3가지 노출에서 시뮬레이팅된 웨이퍼 이미지의 2개 피처에 대해 만들어진 컷(cut)들을 나타낸다. 구체적으로, 라인(1101(P)와 1102(P))는 제 1 노출에서의 피처(904(P) 및 905(P))에 대해 만들어진 컷을 나타내며, 라인(1103(P)와 1104(P))는 제 2 노출에서의 피처(904(P) 및 905(P))에 대해 만들어진 컷을 나타내며, 라인(1105(P)와 1106(P))는 제 3 노출에서 피처(904(P) 및 905(P))에 대해 만들어진 컷을 나타낸다.
유사하게, 도 11B(1 내지 3)은 동일한 3가지 노출에 대해서 피처(904(R) 및 905(R))에 대한 시뮬레이팅된 웨이퍼 이미지를 예시한다. 라인(1101(R) 내지 1106(R))은 3가지 노출에서 시뮬레이팅된 웨이퍼 이미지의 2개 피처에 대해 만들어진 컷들을 나타낸다. 구체적으로, 라인(1101(R)와 1102(R))는 제 1 노출에서의 피처(904(R) 및 905(R))에 대해 만들어진 컷을 나타내며, 라인(1103(R)와 1104(R))는 제 2 노출에서의 피처(904(R) 및 905(R))에 대해 만들어진 컷을 나타내며, 라인(1105(R)와 1106(R))는 제 3 노출에서 피처(904(R) 및 905(R))에 대해 만들어진 컷을 나타낸다.
각 라인(1101(P) 내지 1106(P) 및 1101(R) 내지 1106(R))은 결합된 CD를 제공한다. 그러므로, 참조의 편의를 위해, 라인(1101(P) 내지 1106(P) 및 1101(R) 내지 1106(R))은 이후 CD(1101(P) 내지 1106(P) 및 1101(R) 내지 1106(R))로 참조된다.
도 11A(1)과 11B(1)에 도시된 제 1 노출에 대해서, 상대 CD 편차(RCD)는 피처(904)에 대해서 다음과 같이 계산될 수 있다:
RCD(1(904)) = (1101(P) - 1101(R))/1101(R)
한 실시예에서, CD(1101(R)과 1101(P))의 실제 측정치는 각각 266nm, 364nm이다. 이 값들 RCD(1(904))에 대한 식에 대입하면 산출치는 약 0.368nm이다.
비슷한 방식으로, 도 11A(1)과 11B(1)에 도시된 제 1 노출에 대해서, 상대, 최대 CD 편차(RCD) 변화는 피처(905)에 대해서 다음과 같이 계산될 수 있다:
RCD(1(905)) = (1102(P) - 1102(R))/1102(R)
한 실시예에서, CD(1102(R)과 1102(P))의 실제 측정치는 각각 252nm, 322nm이다. 이 값들 RCD(1(905))에 대한 식에 대입하면 산출치는 약 0.278nm이다.
제 2 및 제 3 노출에 대한 피처(904 및 905)에 대한 RCD는 아래에 나타낸 바와 같이 유사한 방식으로 계산될 수 있다.
RCD(2(904)) = (1103(P) - 1103(R))/1103(R)
RCD(2(905)) = (1104(P) - 1104(R))/1104(R)
RCD(3(904)) = (1105(P) - 1105(R))/1105(R)
RCD(3(905)) = (1106(P) - 1106(R))/1106(R)
한 실시예에서, CD(1103(R), 1103(P), 1104(R), 1104(P), 1105(R), 1105(P), 1106(R), 1106(P))의 실제 측정치는 각각 238nm, 350nm, 252nm, 294nm, 224nm, 280nm이다. 이 값들 RCD(2(904)), RCD(2(905)), RCD(3(904)), RCD(3(905))에 대한 식에 대입하면 산출치는 각각 약 0.471nm, 0.167nm, 0.353nm, 0.250nm이다.
각 노출에 대해서 최대 CD 편차(MCD)를 결정하기 위해, 가장 큰 RCD 값이 선택된다. 그리하여, 제 1 노출에 대한 최대 CD 편차(MCD(1))는 0.368nm (0.368 > 0.278), MCD(2)는 0.471nm (0.471 > 0.167), MCD(3)는 0.353nm (0.353 > 0.250)이다.
결함 중대성 점수(DSS)는 식 1을 이용하여 계산될 수 있다. 주어진 예에서,3개의 노출이 분석되었기 때문에, N=3.
이 값들을 식 1에 치환하면 다음과 같이 된다:
그리하여, 3가지 노출에 기초하여,
DSS=(3/3)[(MCD(1)-(ACD(1)/3))/TCD + (MCD(2)-(ACD(2)/3))/TCD + (MCD(3)-(ACD(3)/3))/TCD]
위에서 계산된 값들을 3가지 노출에 대한 MCD와 ACD에 치환하면, 다음과 같이 된다;
DSS=[(0.368-(0.043/3))/0.1 + (0.473-(0/3))/0.1 + (0.353-(0.028/3))/0.1
DSS=3.54 + 4.73 + 3.44
그러므로, 결함(903)(도 9A를 보시오)은 약 11.71의 DSS를 갖는다.
결함 인쇄적성 분석 발생기(515)(도 5)는 영향 보고(516)에서 결함 중대성 점수(DSS)(한 실시예에서, 1부터 10까지의 스케일)를 출력할 수 있다. 이러한 영향 보고(516)는 결함 인쇄적성 분석에서 사람의 실수를 줄이기 위해 사용될 수 있다. 예를 들면, DSS 점수 5는 아마도 프린팅된 피처가 심대한 성능 이슈를 가질 것이라는 것을 가리킬 것이다. 그러나, 또한 그 물리적 마스크의 수리가 가능하다는 것도 가리킬 것이다. 반면에, DSS 점수 7과 그 이상은 성능 이슈 뿐만 아니라, 그 물리적 마스크의 제조가 추천된다는 것을 가리킬 것이다. 예를 들면, 일실시예에서, 3보다 작은 DSS는 결함에 기인하는 CD 변화가 특정 CD 허용오차 이내에 있음을 의미하며, 3과 6 사이의 DSS는 결함에 기인하는 CD 변화가 특정 CD 허용오차보다 더 크지만, 그 CD 변화가 웨이퍼 상에 심각한 결함(오픈(opens)이나 브릿지와 같은)을 초래하지는 않는다는 것을 의미하며, 6보다 더 큰 DSS는 결함에 기인하는 CD 변화가 웨이퍼 상에 심각한 결함을 결함을 초래한다는 것을 의미한다. 그리하여, 각 숫자에 대해서 결합된 의미를 갖는 수치 결과를 제공함으로써, 기술자는 효율적이고도 실수없이 다음 행동, 예를 들면, 물리적 마스크의 수리나 물리적 마스크의 재제조로 진행할 수 있다.
처리 윈도우
결함 인쇄적성은 다양한 처리 윈도우를 이용함으로써 또한 평가될 수 있다. 처리 윈도우는 당업자에 의해 알려진 어떤 그래프로부터 도출될 수 있다. 일반적인 용어로, 한 피처의 처리 윈도우는 목표 CD의 어떤 범위 이내에 있는 피처의 임계 치수(CD)를 유지하면서 허용될 수 있는, 처리에서의 변동의 양이다.
하나의 알려진 처리 변동은 투사 도구, 즉 스텝퍼의 초점 설정이다. 초점은 레지스트 프로파일(CD, 측벽 각도, 레지스트 두께)을 심대히 변화할 수 있으며, 그리하여 수용가능한 리소그래피 공정을 제공하는데 있어서 결정적일 수 있다.
초점과 노출의 영향 때문에, 이들 변수는 일반적으로 초점-노출 매트릭스에서 동시에 변화한다. 처리 윈도우는 그러한 매트릭스로부터 도출될 수 있다. 처리 윈도우 내에 위치하는 초점과 노출 값은 허용오차 이내의 레지스트 피처, 예를 들면, CD를 생산하며, 반면에, 처리 윈도우 밖에 위치하는 초점과 노출 값은 허용오차 밖의 레지스트 피처를 생산한다. 그리하여, 아래에서 상세히 설명되는 바와 같이, 처리 윈도우는 결함의 중대성과 인쇄적성을 판정하는 객관적인 수단을 제공할 수 있다.
예를 들면, 도 12A는 피처(1204)와 결함(1203)을 갖는 마스크를 예시한다. 위에서 설명된 바와 같이, 결함(1203)은 피어(1204)의 폭에 영향을 미칠 것이다. 구체적으로, 컷 라인(1201)에서 피처(1204)의 폭은 커 라인(1202)에서의 폭보다 더 클 것이다.
도 12B는 피처 크기(나노미터 단위) 대 디포커스(나노미터 단위)의 그래프를 도시한다. 이 그림에서, 굵은 수평선은 목표 CD가 200nm임을 가리키며, 반면에, 다른 수평선은 이 목표 CD의 +/- 10% 에러를 가리킨다. 곡선(1211와 1212)은 결함(1223)을 포함하는 마스크를 노출시키고(또는 노출을 시뮬레이팅하고) 다양한 디포커스 수준(이 경우, -500nm에서 500nm)에서 컷 라인(1201와 1202)에서 프린팅된 피처의 CD를 분석함으로써 발생된다. 곡선(1211와 1212)은 각각 컷 라인(1201와 1202)에서 CD 분석을 나타낸다.
논리적으로, 곡선(1212) 상의 각 피처 크기는 곡선(1211) 상에서 상응하는 더 큰 피처 크기를 갖는다. 예를 들면, -300nm 디포커스에서, 컷 라인(1202)(곡선(1212)를 보시오)에서의 피처 크기는 약 150nm이고, 반면에 컷 라인(1201)(곡선(1211)을 보시오)에서의 피처 크기는 약 170nm이다. 다음을 주목하라. 즉, 양 곡선에 대해서 수용가능한 디포커스 윈도우, 즉 수평선 CD +/- 10%는 약 -208nm와 208nm 사이이다.
도 12C는 퍼센트 노출 편차 대 디포커스(나노미터 단위)의 그래프를 예시한다. 이 그림에서, 곡선(1221)은 다양한 디포커스 수준에 대해서 컷 라인(1201)에 대한 노출 편차의 상하한을 나타낸며, 곡선(1222)은 다양한 디포커스 수준에 대해서 컷 라인(1202)에 대한 노출 편차의 상하한을 나타낸다. 이들 두 영역의 중첩 내에 들어맞는 가장 큰 가능한 사각형은 공통 처리 윈도우(1223)을 정의한다. 이 실시예에서, 공통 처리 윈도우(1223)는 디포커스가 약 -150nm와 150nm 사이에서 변화할 수 있음을 가리키며, 반면에 노출 편차는 약 -10%와 10% 사이에서 변화(라인 CD를 허용오차 이내에 유지하면서)할 수 있다.
도 12D는 노출 관용도(%) 대 초점 깊이(depth of focus: DOF)(나노미터 단위)의 그래프이며, 여기서 노출 관용도는 노출량 변동의 양을 가리키며, DOF는 초점 변동의 양을 가리킨다. 이 그림에서, 곡선(1231)은 다양한 DOF에 대해서 컷 라인(1201)에 대한 노출 관용도의 상하한을 나타내며, 곡선(1232)은 다양한 DOF에 대해서 컷 라인(1202)에 대한 노출 관용도의 상하한을 나타낸다. 곡선(1231)과 곡선(1232)은 동일한 하한을 공유함을 주목하라. 공통 하한 아래에 들어맞는 가장 큰 가능한 사각형은 공통 처리 윈도우(1233)를 정의한다. 이 실시예에서, 공통 처리 윈도우(1233)는 DOF가 약 0nm와 300nm 사이에서 변화할 수 있음을 가리키며, 반면에 노출 관용도는 약 0%와 19% 사이에서 변화(다시금, 라인 CD를 허용오차 이내에 유지하면서)할 수 있다.
처리 윈도우(1233)에 의해 제공되는 정보는 처리 윈도우(1223)에 의해 도출될 수 있음을 주목하라. 구체적으로, DOF 범위는 디포커스의 전체 범위와 동일하며, 노출 관용도 범위는 노출 편차의 전체 범위와 동일하다.
도 13A는 피처(1304)와 결함(1303)을 갖는 마스크를 예시한다. 비록 피처(1304)가 피처(1204)와 동일한 크기이지만, 결함(1303)은 결함(1203)보다 훨씬 더 크다. 그리하여, 컷 라인(1301)에서 프린팅된 피처(1304)의 폭은 컷 라인(1302)에서 프린팅된 피처(1304)의 폭보다 더 넓을 것이다. 더욱이, 아래에서 설명될 바와 같이, 결함(1303)은 결함(1203)과 비교하여 처리 윈도우를 심대히 감소시킬 것이다.
도 13B는 피처 크기(나노미터 단위) 대 디포커스(나노미터 단위)의 그래프를 도시한다. 다시금, 굵은 수평선은 목표 CD가 200nm임을 가리키며, 반면에, 다른 수평선은 이 목표 CD의 +/- 10% 에러를 가리킨다. 곡선(1311와 1312)은 결함(1323)을 포함하는 마스크를 노출시키고(또는 노출을 시뮬레이팅하고) 다양한 디포커스 수준(이 경우, -500nm에서 500nm)에서 컷 라인(1301와 1302)에서 프린팅된 피처의 CD를 분석함으로써 발생된다. 곡선(1311와 1312)은 각각 컷 라인(1301와 1302)에서 CD 분석을 나타낸다.
이전에 언급된 바와 같이, 곡선(1312) 상의 각 피처 크기는 곡선(1311) 상에서 상응하는 더 큰 피처 크기를 갖는다. 예를 들면, -300nm 디포커스에서, 컷 라인(1302)(곡선(1312)을 보시오)에서의 피처 크기는 약 150nm이고, 반면에 컷 라인(1301)(곡선(1311)을 보시오)에서의 피처 크기는 약 185nm이다. 다음을 주목하라. 즉, 양 곡선에 대해서 수용가능한 디포커스 윈도우, 즉 수평선 CD +/- 10% 사이는 약 100nm와 208nm 사이일 뿐 아니라 약 -208nm와 -100nm 사이이다.
도 13C는 퍼센트 노출 편차 대 디포커스(나노미터 단위)의 그래프를 예시한다. 이 그림에서, 곡선(1321)은 다양한 디포커스 수준에 대해서 컷 라인(1301)에 상응하는 CD에 대한 노출 편차의 상하한을 나타내며, 곡선(1322)은 다양한 디포커스 수준에 대해서 컷 라인(1302)에 상응하는 CD에 대한 노출 편차의 상하한을 나타낸다. 이들 두 영역의 중첩 내에 들어맞는 가장 큰 가능한 사각형은 공통 처리 윈도우(1323)를 정의한다. 이 실시예에서, 공통 처리 윈도우(1323)는 디포커스가 약 -100nm와 100nm 사이에서 변화할 수 있음을 가리키며, 반면에 노출 편차는 약 2%와 15% 사이에서 변화(라인 CD를 허용오차 이내에 유지하면서)할 수 있다.
도 13D는 노출 관용도(%) 대 DOF(나노미터 단위)의 그래프이다. 이 그림에서, 곡선(1331)은 다양한 DOF에 대해서 컷 라인(1301)에 상응하는 CD에 대한 노출 관용도의 상하한을 나타내며, 곡선(1332)은 다양한 DOF에 대해서 컷 라인(1302)에 상응하는 CD에 대한 노출 관용도의 상하한을 나타낸다. 곡선(1331)과 곡선(1332)은 실질적으로 동일한 상하한을 공유함을 주목하라. 공통 하한 아래에 들어맞는 가장 큰 가능한 사각형은 공통 처리 윈도우(1333)를 정의한다. 이 실시예에서, 공통 처리 윈도우(1333)는 DOF가 약 0nm와 200nm 사이에서 변화할 수 있음을 가리키며, 반면에 노출 관용도는 약 0%와 12% 사이에서 변화(다시금, 라인 CD를 허용오차 이내에 유지하면서)할 수 있다.
처리 윈도우(1223/1233)은 처리 윈도우(1323/1333)보다 심대히 더 큼을 주목하라. 이 예에서 알 수 있는 바와 같이, 더 큰 결함 크기는 처리 윈도우를 감소시킨다. 그러므로, 다양한 처리 윈도우는 결함 인쇄적성을 결정하기 위해 비교될 수있다. 구체적으로, 무결함 피처에 대한 처리 윈도우는 그러한 피처(들)에 근접한 결함을 갖는 피처의 하나나 그 이상의 처리 윈도우와 비교될 수 있다. 전형적인 실시예에서, 고객은 무결함 피처에 대한 처리 윈도우로부터 수용가능한 편차의 범위를 설정할 수 있다.
전술된 처리는 피처의 일부분을 형성하는 결함에 대해서도 동일하게 적용가능하다. 예를 들면, 도 14A는 피처(1404)와 일체적으로 형성된 결함(1403)을 갖는 마스크를 예시한다. 결함(1403)은 피처(1404)의 폭에 영향을 미칠 수 있다. 구체적으로, 컷 라인(1401)에서 피처(1404)의 폭은 컷 라인(1402)에서의 폭보다 더 클 것이다.
도 14B는 피처 크기(나노미터 단위) 대 디포커스(나노미터 단위)의 그래프를 도시한다. 이 그림에서, 굵은 수평선은 목표 CD가 200nm임을 가리키며, 반면에 다른 수평선은 이 목표 CD의 +/- 10% 에러를 가리킨다. 곡선(1411과 1412)은 결함(1403)을 포함하는 마스크를 노출시키고(또는 노출을 시뮬레이팅하고) 다양한 디포커스 수준(이 경우, -500nm에서 500nm)에서 컷 라인(1401와 1402)에서 프린팅된 피처의 CD를 분석함으로써 발생된다. 곡선(1411와 1412)은 각각 컷 라인(1401와 1402)에서 CD 분석을 나타낸다. 이 실시예에서, 현상에 대한 에너지 3.9mJ/cm2가 가정되었다.
논리적으로, 곡선(1412) 상의 각 피처 크기는 곡선(1411) 상에서 상응하는 더 큰 피처 크기를 갖는다. 예를 들면, -300nm 디포커스에서, 컷 라인(1402)(곡선(1412)을 보시오)에서의 피처 크기는 약 150nm이고, 반면에 컷 라인(1401)(곡선(1411)을 보시오)에서의 피처 크기는 약 165nm이다. 다음을 주목하라. 즉, 양 곡선에 대해서 수용가능한 디포커스 윈도우, 즉 수평선 CD +/- 10% 사이는 약 -208nm와 208nm 사이이다.
도 14C는 퍼센트 노출 편차 대 디포커스(나노미터 단위)의 그래프를 예시한다. 이 그림에서, 곡선(1421)은 다양한 디포커스 수준에 대해서 컷 라인(1401)에 대한 노출 편차의 상하한을 나타내며, 곡선(1422)은 다양한 디포커스 수준에 대해서 컷 라인(1402)에 대한 노출 편차의 상하한을 나타낸다. 이들 두 영역의 중첩 내에 들어맞는 가장 큰 가능한 사각형은 공통 처리 윈도우(1423)를 정의한다. 이 실시예에서, 공통 처리 윈도우(1423)는 디포커스가 약 -150nm와 150nm 사이에서 변화할 수 있음을 가리키며, 반면에 노출 편차는 약 -5%와 9% 사이에서 변화(라인 CD를 허용오차 이내에 유지하면서)할 수 있다.
도 14D는 노출 관용도(%) 대 DOF(나노미터 단위)의 그래프이다. 이 그림에서, 곡선(1431)은 다양한 DOF에 대해서 컷 라인(1401)에 대한 노출 관용도의 상하한을 나타내며, 곡선(1432)은 다양한 DOF에 대해서 컷 라인(1402)에 대한 노출 관용도의 상하한을 나타낸다. 곡선(1431)과 곡선(1432)은 동일한 하한을 공유함을 주목하라. 공통 하한 아래에 들어맞는 가장 큰 가능한 사각형은 공통 처리 윈도우(1433)를 정의한다. 이 실시예에서, 공통 처리 윈도우(1433)는 DOF가 약 0nm와 300nm 사이에서 변화할 수 있음을 가리키며, 반면에 노출 관용도는 약 0%와 14% 사이에서 변화(다시금, 라인 CD를 허용오차 이내에 유지하면서)할 수 있다.
이전에 언급된 바와 같이, 처리 윈도우(1433)에 의해 제공된 정보는 처리 윈도우(1423)에 의해 도출될 수 있다. 구체적으로, DOF 범위는 디포커스의 전체 범위와 동일하며, 노출 관용도의 범위는 노출 편차의 전체 범위와 동일하다.
도 15A는 피처(1504)와 결함(1503)을 갖는 마스크를 예시한다. 비록 피처(1504)가 피처(1404)와 동일한 크기이지만, 결함(1503)은 결함(1403)보다 심대히 더 크다. 그리하여, 컷 라인(1501)에서 프린팅된 피처(1504)의 폭은 컷 라인(1401)에서 프린팅된 피처(1404)의 폭보다 넓을 것이다. 더욱이, 아래에서 상세히 설명되는 바와 같이, 결함(1503)은 결함(1403)과 비교하여 처리 윈도우를 심대히 감소시킬 것이다.
도 15B는 피처 크기(나노미터 단위) 대 디포커스(나노미터 단위)의 그래프를 도시한다. 다시금, 굵은 수평선은 목표 CD가 200nm임을 가리키며, 반면에 다른 수평선은 이 목표 CD의 +/- 10% 에러를 가리킨다. 곡선(1511과 1512)은 결함(1503)을 포함하는 마스크를 노출시키고(또는 노출을 시뮬레이팅하고) 다양한 디포커스 수준(이 경우, -500nm에서 500nm)에서 컷 라인(1501와 1502)에서 프린팅된 피처의 CD를 분석함으로써 발생된다. 곡선(1511와 1512)은 각각 컷 라인(1501와 1502)에서 CD 분석을 나타낸다.
이전에 언급된 바와 같이, 곡선(1512) 상의 각 피처 크기는 곡선(1511) 상에서 상응하는 더 큰 피처 크기를 갖는다. 예를 들면, -300nm 디포커스에서, 컷 라인(1502)(곡선(1512)을 보시오)에서의 피처 크기는 약 150nm이고, 반면에 컷 라인(1501)(곡선(1511)을 보시오)에서의 피처 크기는 약 198nm이다. 다음을 주목하라. 즉, 양 곡선에 대해서 수용가능한 디포커스 윈도우, 즉 수평선 CD +/- 10%사이는 더 이상 획득가능하지 않다.
도 15C는 퍼센트 노출 편차 대 디포커스(나노미터 단위)의 그래프를 예시한다. 이 그림에서, 곡선(1521)은 다양한 디포커스 수준에 대해서 컷 라인(1501)에 상응하는 CD에 대한 노출 편차의 상하한을 나타내며, 곡선(1522)은 다양한 디포커스 수준에 대해서 컷 라인(1502)에 상응하는 CD에 대한 노출 편차의 상하한을 나타낸다. 이 경우, 곡선(1521)과 곡선(1522)에 의해 정의되는 두 영역은 중첩되지 않는다. 그러므로, 공통 처리 윈도우는 존재하지 않는다. 그리하여, 결함(1503)은 피처(1504)가 허용오차 내에서 프린팅하는 것을 효과적으로 방지할 것이다.
도 15D는 노출 관용도(%) 대 DOF(나노미터 단위)의 그래프이다. 이 그림에서, 곡선(1531)은 다양한 DOF에 대해서 컷 라인(1501)에 상응하는 CD에 대한 노출 관용도의 상한을 나타내며, 곡선(1532)은 다양한 DOF에 대해서 컷 라인(1502)에 상응하는 CD에 대한 노출 관용도의 상한을 나타낸다. 곡선(1531)과 곡선(1532)은 어떠한 하한도 공유하지 않음을 주목하라. 그리하여, 공통 처리 윈도우는 존재하지 않으며, 그리하여, 도 15D로부터 도출된 정보를 확증한다.
도 12 내지 15에서, 결함 인쇄적성을 판정하기 위한 처리 윈도우의 이용은 라인들에 적용되었다. 그러나, 처리 윈도우의 이러한 이용은 콘택트와 비아의 인쇄적성에도 또한 적용가능하다. 도 16A 내지 16D는 마스크 상에서 무결함 콘택트(1601), 피처 크기 대 디포커스의 그래프(1602), 노출 편차 대 디포커스의 그래프(1603)(및 결과적인 처리 윈도우), 노출 관용도 대 DOF의 그래프(1604)(및 그것의 결과적인 처리 윈도우)를 각각 예시한다.
대조적으로, 도 17A 내지 17D는 마스크 상의 콘택트(1701), 피처 크기 대 디포커스의 그래프(1702), 노출 편차 대 디포커스의 그래프(1703)(및 결과적인 처리 윈도우), 노출 관용도 대 DOF의 그래프(1704)(및 그것의 결과적인 처리 윈도우)를 각각 예시한다. 다음을 주목하라. 즉, 콘택트(1701)는 현저하게 심대한 CD 변동을 가지며, 그리하여, 종래 기술 분석하에서는 보통의 결함 콘택트로서 간주될 수 있다.
그러나, 도 16C 및 16D의 처리 윈도우와 비교하여 도 17C 및 17D의 처리 윈도우는 비록 상당한 CD 변동을 보임에도 불구하고 콘택트(1701)는 콘택트(1601)의 그것과 상대적으로 유사한 하나의 처리 윈도우를 갖는다. 구체적으로, 도 17D 및 16D를 참조할 때, 콘택트(1701) 및 콘택트(1601)는 모두 0과 600nm 사이의 공통 초점 깊이를 가지며, 실질적으로 유사한 노출 관용도, 즉, 콘택트(1701)에 대해서는 0과 58% 사이, 콘택트(1601)에 대해서는 0과 40% 사이를 갖는다. 그러나, 비록 콘택트(1701) 및 콘택트(1601)가 동일한 디포커스(즉, -300nm에서 300nm 사이)를 갖지만, 이들 콘택트는 상당히 다른 퍼센트 노출 편차를 갖는다. 구체적으로, 콘택트(1701)는 약 22%에서 80% 사이의 노출 편차를 가지며, 콘택트(1601)는 약 -3%에서 37%의 노출 편차를 갖는다. 그 결과로서, 공통 처리 윈도는 비록 작지만 콘택트(1701) 및 콘택트(1601) 모두에 대해서 존재할 수 있다.
그리하여, 피처와 결합된 처리 윈도우를 분석하는 것은 결함에 기초한 그 피처의 인쇄적성을 판정하는 객관적인 수단을 제공할 수 있다. 예를 들면, 결함 중대성은 두개의 처리 윈도우 사이의 중첩의 양으로부터 도출될 수 있으며(즉, 공통처리 윈도우), 반면에 하나의 처리 윈도우는 결합 컷 라인으로부터 추출되며, 또다른 처리 윈도우는 기준 컷 라인으로부터 추출된다. 구체적으로, 이 실시예에 따라서, 결함 인쇄적성 분석 발생기(515)는 마스크(501A 및 501B)에 제공된 피처에 대한 공통 처리 윈도우를 결정할 수 있으며, 영향 보고(516)에 이 정보를 제공할 수 있다.
물리적 마스크의 수리
도 18은 물리적 마스크에 대해서 행해질 수 있는 수리를 분석하는데 사용될 수 있는 하나의 처리를 예시한다. 도 18에 도시된 바와 같이, 영향 보고(516)(또는 그것의 부분)를 이용할 때, 비트맵 편집기(1801)는 하나나 그 이상의 결함의 영향을 제거하거나 심대히 감소시키기 위해 물리적 마스크(예를 들면, 물리적 마스크(501A)에 행해질 수 있는 가능한 정정을 지시할 수 있다. 비트맵 편집기(1801)는 이후 이들 정정을 포함하는 시뮬레이팅된 마스크(1802)를 출력할 수 있다. 그리하여, 시뮬레이팅된 마스크(1802)는 물리적 마스크의 가능한, 수리된 버전이다. 비트맵 편집기(1801)는 웨이퍼 이미지 발생기(509)와 동일한 도구를 사용하거나 분리될 수 있음을 주목하라.
시뮬레이팅된 마스크(1802)는 검사 도구(502)에 의해서 검사될 수 있으며, 마스크(1802)에 제공되는 가능한 정정의 성공을 가리키는 새로운 영향 보고와 새로운 시뮬레이팅된 웨이퍼 이미지(도시되지 않음)를 발생시키기 위해서 웨이퍼 이미지 발생기(509)에 의해서 사용될 수 있다. 만약 정정이 수용가능하다면, 비트맵편집기(1801)는 물리적 마스크의 수리를 위해 마스크 수리 도구(1803)에 정정 정보를 직접 제공할 수 있다. 만약 고객이 서로다른 파라미터의 추가적인 최적화와 분석을 원한다면, 전술한 처리는 정정이 수용가능한 범위 내에 있다고 간주되거나, 원하는 결과가 물리적 마스크를 수리함으로써 얻어질 수 없음을 비트맵 편집기(1801)가 가리킬 때까지 반복될 수 있다.
일실시예에서, 비트맵 편집기(1801)는 최적화된 마스크 기록 전략을 또한 가리킬 수 있다. 예를 들면, 레이저 도구는 불투명 결함에 대해서 사용될 수 있으며(예를 들면, 크롬 결함의 제거), 반면에 포커싱된 이온 빔 도구는 투명 결함에 대해서 사용될 수 있다(예를 들면, 크롬의 침전). 레이저 및 포커싱된 이온 빔 도구는 각각 침전과 제거에 대해서 또한 사용될 수 있음을 주목하라. 일반적으로, 포커싱된 이온 빔 도구는 레이저 도구 이상의 정밀도를 제공한다. 그러나, 포커싱된 이온 빔 도구는 일반적으로 레이저 도구보다 느리다. 비트맵 편집기(1801)는 고객의 시간이나 비용 제한을 가리키는 입력(도시되지 않음)을 수신할 수 있으며, 그리하여 비트맵 편집기(1801)로 하여금 이들 고객 파라미터에 기초하여 수리 처리를 최적화할 수 있도록 한다.
본 발명의 또다른 실시예에서, 비트맵 편집기(1801)는 웨이퍼 수리 도구(도시 되지 않음)에 정보를 제공하는데 사용될 수 있다. 구체적으로, 비트맵 편집기(1801)는 마스크 수리의 효력과 웨이퍼 수리의 효력을 비교하는 프로그램을 포함할 수 있다. 일실시예에서, 프로그램은 추가적인 분석을 위해서 비광학(예를 들면, SEM, 포커싱된 이온 빔) 이미지를 광학 이미지로 변환할 수 있다.
일괄 처리
중요하게도, 결함 인쇄적성 분석은 개별적인 결함 혹은 복수의 결함에 대해서 행해 질 수 있다. 일실시예에서, 검사 도구(502)와 웨이퍼 이미지 발생기(509)는 물리적 마스크(501A)에서 발견된 모든 결함에 대해 결과를 자동적으로 출력할 수 있다. 그리하여 영향 보고(516)는 모든 결함에 대한 결함 중대성 점수를 포함할 수 있다.
대안적으로, 원한다면, 영향 보고(516)는 소정의 값 이상(예를 들면, DSS 5 이상의)의 결함 중대성 점수만을 포함할 수 있다. 이러한 맞춤 영향 보고는 비트맵 편집기(1801)에(그리고 계속해서 마스크 수리 도구(1803)에) 제공될 수 있다. 그러므로, 완전하고 자동화된 결함 검출 및 정정 처리가 제공될 수 있으며, 그리하여 마스크를 분석 및 수리하는데(적절하다면) 필요한 시간을 심히 줄일 수 있다.
OPC 고려
결함 인쇄적성 분석은 다른 피처로부터 독립적으로 OPC 피처를 평가하는 필요성을 또한 제거할 수 있다. 예를 들면, 다음을 가정해 보자. 즉, 스캐터링 막대에 근접하여 위치한 결함은 결합된 고립 피처의 프린팅에 영향을 미치지 않는다. 그러나, 이 결함은 스캐터링 막대와 광학적으로 상호작용할 수 있으며, 그리하여, 스캐터링 막대의 적어도 일부를 프린팅하는 것으로 귀결된다. 전에 언급된 바와 같이, 스캐터링 막대와 같은 OPC 피처는 서브-해상도 피처이며 프린팅되어서는 안된다.
일실시예에 따라서, 만약 OPC 피처가 결함 때문에 프린팅된다면(시뮬레이팅된 웨이퍼 이미지에 의해 결정된 바대로), 결함 분석(단계(830))은 CD 변화가 결정되는대로(단계(831)) 이러한 에러를 가리킬 수 있다. 그리하여, OPC 피처에 관하여 임의의 복잡한 설계 규칙을 제거함으로써, 이 실시예는 OPC 피처에 나쁜 영향을 미치는 결함을 식별하는 빠르고, 신뢰성있으며, 정확한 방법을 보장한다.
마스크 품질 이슈
CD 변화에 덧붙여, 라인 에지 거칠기와 같은 다른 인쇄적성 인자들이 마스크 품질에 대해서 또한 고려되어야 한다. 그러나, 마스크 상의 피처의 라인 에지 거칠기는 현재 의미있는 방법으로 측정되지 않고 있다.
도 19A는 두 개의 라인(1901 및 1902)을 포함하는 간략화된 시뮬레이팅된 웨이퍼 이미지(1900)를 예시한다. 흥미롭게도, 라인 에지 거칠기를 갖는 라인은 반드시 CD 변동을 보이지는 않을 수 있다. 예를 들면, 라인(1902)은 실질적으로 대칭적인 라인 에지 거칠기를 가지기 때문에, 라인(1902)은 심대한 CD 변동을 갖지 않을 수 있다. 그러나, 두 라인(1901 및 1902) 모두는 라인 에지 거칠기를 보이는 것으로 특징지워져야 한다.
도 19B를 참조할 때, 시뮬레이팅된 라인의 에지는 독립적으로 분석될 수 있으며, 그리하여 라인 에지 거칠기가 정확하게 측정되도록 한다. 구체적으로, 예로서 라인(1902)을 이용할 때, 라인(1902)의 센터라인(1903)은 기준 마스크(501B)(도5)에 기초하여 결정될 수 있다. 이후, 복수의 이론적인 컷이 라인(1902)에 대해 만들어진다(라인(1904)에 의해 지시된). 각 라인(1904)은 센터라인으로부터 라인의 반대편 에지에까지 이르는 두 개의 "립(rib)"을 포함한다. 예를 들면, 립(1904R)은 센터라인(1903)으로부터 라인(1902)의 오른편 에지에까지 이르며, 립(1904L)은 센터라인(1903)으로부터 라인(1902)의 왼편 에지에까지 이른다. 립(1904R 및 1904L)은 더해지면 라인(1902)의 CD와 동일하다는 것을 주목하라.
본 발명의 하나의 특징으로서, 센터라인(1903)의 각 측면 상의 립의 길이는 독립적으로 측정될 수 있다. 이런 식으로, 라인 에지 거칠기(line edge roughness)은 라인(1902)의 각 에지에 대해서 정확하게 결정될 수 있다. 일실시예에서, 결함 인쇄적성 분석 발생기(515)는 도 8A 내지 8C를 참조하면서 상세히 설명된 식을 이용하지만 CD 대신에 립 길이를 대체하기 위해 이들 방정식을 수정함으로써 LER의 DSS를 계산할 수 있다. 모든 라인이 불가피하게 약간의 LER을 갖기 때문에, 결함 인쇄적성 분석 발생기(515)는 LER에 대한 임계값을 가리키는 조회표를 포함할 수 있다. 만약 수용불가능한 라인 에지 거칠기(LER)가 검출된다면, 결함 인쇄적성 분석 발생기(515)는 라인(1902)의 LER을 영향 보고(516)에 기재된 "결함"으로 가리킬 수 있다. 그리하여, LER은 도 18을 참조하면서 전술된 것과 유사한 방식으로 수리될 수 있다.
유리하게도, 센터라인과 립을 이용하는 방법은 마스크 상의 다른 피처에 대해서 적용될 수 있다. 예를 들면, 마스크상의 가장 완전한 콘택트 조차도 회절 때문에 웨이퍼 상에서 원 또는 원에 가까운 것으로 프린팅될 수 있다. 웨이퍼 상에콘택트를 프린팅하기 위해 고출력 전자 빔(e-빔) 리소그래피를 이용하는 것은 이러한 회절을 최소화한다. 그러나, e-빔 리소그래피는 산업-표준적인 레이저 래스터 스캔보다 심대히 비싸고 느리다. 불행히도, 래스터 스캔을 이용하는 것은 반드시 레이아웃 상의 모든 콘택트는 아니지만 많은 콘택트의 코너 라운딩을 초래한다. 그러한 코너 라운딩은 아래에서 자세히 설명하는 바대로 효율적으로 검추될 수 있다.
도 20A는 센터라인(2001), 립(2002TR(위쪽 오른편), 2002BR(아래쪽 오른편), 2002TL(위쪽 왼편), 2002BL(아래쪽 왼편))을 포함하는 라인(2002), 립(2003R(오른편), 2003L(왼편))을 포함하는 라인(2003)을 갖는 콘택트(2000)를 예시한다. 본 발명의 하나의 특징에 따라서, 콘택트(2000)에 만들어진 복수의 이론적, 수평 컷은 불균등하게 스페이싱되며, 그리하여 피처들의 특정 요소에 대해서 더 많은 데이터 포인트들을 제공한다.
이 예에서, 콘택트의 코너 라운딩은 특히 흥미롭다. 그러므로, 컷들의 스페이싱은 콘택트의 코너에서 특히 분석하기 위한 충분한 수의 데이터 포인트를 보장하기 위해 수정된다. 그리하여, 도 20A에서, 라인(2002)은 라인(2003)보다 더 가까운 스페이싱을 갖는다. 콘택트(2000)에 대한 코너 라운딩은 립(2003L)의 길이를 위쪽 왼편 코너에 대한 립(2002TL)의 길이와 비교하고, 아래쪽 왼편 코너에 대한 립(2002BL)의 길이와 비교함으로써 결정될 수 있다. 유사한 방식으로, 립(2003R)의 길이는 위쪽 오른편 코너에 대한 립(2002TR)의 길이와 비교되고, 아래쪽 오른편 코너에 대한 립(2003BR)의 길이와 비교될 수 있다. 코너 라운딩 효과(예를 들면,미싱(missing) 영역, 정규 거리 히스토그래밍 등)를 추정하는 몇가지 알려진 방법이 있음을 주목하라.
어떤 경우에, 콘택트와 관련된 성능 이슈는 대칭적인 콘택트 형상이 웨이퍼상에 일관성 있게 만들어져 있는지의 여부를 포함할 수 있다. 유리하게도, 라인 에지 거칠기에 덧붙여, 콘택트의 대칭성이 또한 결정될 수 있다. 예를 들면, 립(2002TL, 2003L, 2002BL)의 길이는 센터라인(2001)으로부터 콘택트(2000)의 수평 대칭성을 결정하기 위해서 립(2002TR, 2003R, 2002BR)의 길이와 비교될 수 있다. 콘택트(2000)의 수직 대칭성은 도 20B에 도시된 바대로 수직 컷을 이용하고 립 비교의 유사한 공정을 따름으로써 결정될 수 있다. 콘택트(2000)의 전체 대칭성(즉, "정사각형임")은 선택된 결합된 수평 립(예를 들면, 립(2002TL) 중의 하나와 립(2002TR) 중의 하나의 더해진 길이, 즉 CD)을 선택된 결합된 수직 립과 비교함으로써 결정될 수 있다.
일실시예에서, 결함 인쇄적성 분석 발생기(515)는 도 8A 내지 8C를 참조하면서 상세히 설명된 식을 이용하지만 CD 대신에 립 길이를 대체하기 위해 이들 방정식을 수정함으로써 대칭성의 DSS를 계산할 수 있다. 모든 콘택트가 불가피하게 약간의 비대칭성을 갖기 때문에, 결함 인쇄적성 분석 발생기(515)는 비대칭성에 대한 임계값을 가리키는 조회표를 포함할 수 있다. 만약 수용불가능한 대칭성이 검출된다면, 결함 인쇄적성 분석 발생기(515)는 라인(1902)의 그 콘택트/비아를 영향 보고(516)에 기재된 "결함"으로 가리킬 수 있다. 그리하여, 대칭성은 도 18을 참조하면서 전술된 것과 유사한 방식으로 수리될 수 있다.
다음을 주목하라. 즉 해머헤드와 세리프(바깥쪽 및 안쪽 코너)와 같은, 레이아웃 상의 약간의 구조물이 마스크 상의 라인을 웨이퍼에 정확히 전달하는 것을 용이하게 하기 위해 제공된다. 이들 구조물은, 비록 라인으로부터 독립적으로 프린팅되지는 않지만, 웨이퍼 상의 이들 라인의 CD 변동에 영향을 미칠 수 있다. 그리하여, 마스크의 프린팅 때문에 생기는 이들 구조물의 변동은 웨이퍼 상의 이들 구조물과 결합된 라인의 프린팅에 또한 나쁜 영향을 미칠 수 있을 것이다. 결합된 구조물을 갖는 라인의 CD 변동이나 코너 라운딩을 검사함으로써, 이들 구조물의 품질은 또한 효과적으로 분석될 수 있다.
다른 실시예
결함 인쇄적성 분석, 결함 중대성 점수, 마스크 품질 평가가 다양한 실시예에서 설명된다. 이들 실시예에 대한 변화와 수정은 당업자에게 명백할 것이다. 예를 들면, 전술한 바와 같이, 물리적 마스크와 상응하는 무결함 기준 마스크는 검사된다. 전술된 일실시예에서, 무결함 기준 이미지는 물리적 마스크의 레이아웃의 시뮬레이팅된 이미지이다. 대안적인 실시예에서, 무결함 기준 이미지는 동일한 패턴을 갖는 물리적 마스크의 무결함 영역이다. 또다른 실시예에서, 무결함 기준 이미지는 제조시에 처리되는 대로의 마스크의 시뮬레이팅된 이미지이다. 또다른 실시예에서, 무결함 기준 이미지는 현미경 (렌즈) 효과에 대해 보상된 대로의 물리적 마스크 이미지이다.
도 1에 도시된 것과 같은 표준적인 마스크 제조 공정에서, 전술된 결함 인쇄적성/마스크 품질 분석이 마스크 검사 단계(116)에 포함될 수 있다. 대안적으로, 전술한 마스크 품질 분석은 웨이퍼 수리 처리에 동일하게 적용가능하다. 예를 들면, 단계(124)에서 판정된대로 웨이퍼가 검사에 실패한 후, 마스크 수리 단계(128 및 130)으로 진행하기 보다는, 웨이퍼를 수리하기 위한 처리 단계가 부가될 수 있다. 또다른 실시예에서, 결함 중대성 점수에 덧붙여, 영향 보고(516)(도 5)는 단면 윤곽 라인, 광강도 데이터, 서로다른 디포커스에 대한 임계 치수, 임계 치수에 대한 영향을 포함하는 페이즈(phase) 전달 데이터와 같은 다른 작업 출력을 포함할 수 있다.
1. 마스크에 있는 피처의 에지 거칠기를 결정하는 방법에 있어서,
마스크의 표현(representation)에 기초하여 피처의 센터라인을 판정하는 단계;
센터라인으로부터 피처의 한 에지까지 뻗어있는 제 1 립의 제 1 길이를 측정하는 단계;
센터라인으로부터 피처의 그 한 에지까지 뻗어있는 제 2 립의 제 2 길이를 측정하는 단계; 및
에지 거칠기를 결정하기 위해 제 1 및 제 2 길이를 비교하는 단계를 포함하는 에지 거칠기 결정 방법.
2. 1번항의 방법에 있어서, 표현은 마스크의 레이아웃을 포함하는 방법.
3. 1번항의 방법에 있어서, 표현은 집적회로의 하나의 층의 레이아웃을 포함하는 방법.
4. 1번항의 방법에 있어서, 피처는 라인인 방법.
5. 1번항의 방법에 있어서, 피처는 콘택트인 방법.
6. 마스크를 수리하는 방법에 있어서,
마스크상의 피처의 에지 거칠기를 결정하는 단계를 포함하고, 만약 에지 거칠기가 소정의 값 밖에 있다면 마스크를 수리하기 위해 리소그래피 도구를 사용하는 단계를 포함하는 마스크 수리 방법.
7. 6번항의 방법에 있어서, 소정의 값은 사용자에 의해 선택되는 방법.
8. 6번항의 방법에 있어서, 에지 거칠기를 결정하는 것은 피처의 무결함 표현에 기초하여 피처의 센터라인을 결정하는 것을 포함하는 방법.
9. 6번항의 방법에 있어서, 피처는 라인과 콘택트 중의 적어도 하나를 포함하는 방법.
10. 웨이퍼를 수리하는 방법에 있어서,
마스크상의 피처의 에지 거칠기를 결정하는 단계를 포함하고, 만약 에지 거칠기가 소정의 값 밖에 있다면 웨이퍼를 수리하기 위해 리소그래피 도구를 사용하는 단계를 포함하는 웨이퍼 수리 방법.
11. 10번항의 방법에 있어서, 소정의 값은 사용자에 의해 선택되는 방법.
12. 10번항의 방법에 있어서, 에지 거칠기를 결정하는 것은 피처의 무결함 표현에 기초하여 피처의 센터라인을 결정하는 것을 포함하는 방법.
13. 10번항의 방법에 있어서, 피처는 라인과 콘택트 중의 적어도 하나를 포함하는 방법.
14. 리소그래피 마스크에 있는 콘택트의 코너 라운딩을 결정하는 방법에 있어서,
제 1 방향으로 콘택트의 센터라인을 결정하는 단계;
제 1 방향에 사실상 수직인 제 2 방향으로 콘택트를 통해 복수의 이론적인 컷을 제공하는 단계 - 여기서 각 컷은 센터라인으로부터 콘택트의 에지까지 뻗어있는 립을 제공함 - ; 및
코너 라운딩을 결정하기 위해서 적어도 2개의 립, 즉 콘택트의 코너 근처에 위치해 있는 하나의 립과 코너 근처에 위치해 있지 않은 또다른 립을 비교하는 단계를 포함하는 코너 라운딩 결정 방법.
15. 리소그래피 마스크에 있는 콘택트의 대칭성을 결정하는 방법에 있어서,
제 1 방향으로 콘택트의 제 1 센터라인을 결정하는 단계;
제 1 방향에 사실상 수직인 제 2 방향으로 콘택트를 통해 복수의 이론적인 컷을 제공하는 단계 - 여기서 각 컷은 콘택트의 제 1 에지로부터 콘택트의 제 2 에지까지 뻗어있는 제 1 임계 치수를 제공함 - ;
제 2 방향으로 콘택트의 센터라인을 결정하는 단계;
제 1 방향에 사실상 수직인 제 1 방향으로 콘택트를 통해 복수의 이론적인 컷을 제공하는 단계 - 여기서 각 컷은 콘택트의 제 3 에지로부터 콘택트의 제 4 에지까지 뻗어있는 제 2 임계 치수를 제공함 - ; 및
콘택트의 대칭성을 결정하기 위해서 제 1 및 제 2 임계 치수를 비교하는 단계를 포함하는 대칭성 결정 방법.
16. 마스크를 수리하는 방법에 있어서,
웨이퍼상의 콘택트의 임의의 코너 라운딩을 결정하는 단계를 포함하고, 만약 에지 거칠기가 소정의 값 밖에 있다면 웨이퍼를 수리하기 위해 리소그래피 도구를 사용하는 단계를 포함하는 마스크 수리 방법.
17. 16번항의 방법에 있어서, 소정의 값은 사용자에 의해 선택되는 방법.
18. 16번항의 방법에 있어서, 에지 거칠기를 결정하는 것은 피처의 무결함 표현에 기초하여 피처의 센터라인을 결정하는 것을 포함하는 방법.
19. 집적회로에 있어서,
기능을 수행하기 위한 복수의 피처; 및
적어도 하나의 수리된 피처를 포함하고, 적어도 하나의 수리된 피처는 자동적인 결함 중대성 점수로부터 비롯하는 집적회로.
20. 19번항의 집적회로에 있어서, 적어도 하나의 수리된 피처는 라인을 포함하는 집적회로.
21. 19번항의 집적회로에 있어서, 적어도 하나의 수리된 피처는 콘택트를 포함하는 집적회로.
22. 19번항의 집적회로에 있어서, 적어도 하나의 수리된 피처는 OPC 피처를 포함하는 집적회로.
23. 19번항의 집적회로에 있어서, 적어도 하나의 수리된 피처는 해머헤드, 세리프, 바이어스 중의 하나를 포함하는 집적회로.
24. 마스크 검사 시스템에 있어서,
마스크 상의 피처의 시뮬레이팅된 웨이퍼 이미지를 발생시키는 수단;
피처의 무결함 표현에 기초하여 시뮬레이팅된 웨이퍼 이미지에 대한 센터라인을 결정하는 수단; 및
센터라인에 기초하여 시뮬레이팅된 웨이퍼 이미지의 외관(aspect)을 측정하는 수단을 포함하는 마스크 검사 시스템.
25. 24번항의 시스템에 있어서, 외관은 라인 에지 거칠기를 포함하는 시스템.
26. 25번항의 시스템 있어서, 라인 에지 거칠기에 기초하여 마스크에 만들어지는 가능한 수리를 평가하는 수단을 추가로 포함하는 시스템.
27. 26번항의 시스템에 있어서, 가능한 수리를 평가하는 수단으로부터 신호를 수신하는 마스크 수리 도구를 추가로 포함하는 시스템.
28. 25번항의 시스템에 있어서, 외관은 코너 라운딩을 포함하는 시스템.
29. 28번항의 시스템에 있어서, 코너 라운딩에 기초하여 마스크에 만들어지는 가능한 수리를 평가하는 수단을 추가로 포함하는 시스템.
30. 29번항의 시스템에 있어서, 가능한 수리를 평가하는 수단으로부터 신호를 수신하는 마스크 수리 도구를 추가로 포함하는 시스템.
31. 마스크 상의 피처를 분석하는 검사 시스템에 있어서,
피처의 시뮬레이팅된 웨이퍼 이미지를 발생시키는 수단;
피처의 무결함 표현에 기초하여 피처의 시뮬레이팅된 웨이퍼 이미지에 대한 센터라인을 결정하는 수단; 및
피처가 소정의 기준을 통과하는지의 여부를 판정하는 수단을 포함하는 피처 분석 검사 시스템.
32. 31번항의 시스템에 있어서, 소정의 기준은 시뮬레이팅된 웨이퍼 이미지의 라인 에지 거칠기를 포함하는 시스템.
33. 32번항의 시스템에 있어서, 라인 에지 거칠기에 기초하여 마스크에 만들어진 가능한 수리를 평가하는 수단을 추가로 포함하는 시스템.
34. 33번항의 시스템에 있어서, 가능한 수리를 평가하는 수단으로부터 신호를 수신하는 마스크 수리 도구를 추가로 포함하는 시스템.
35. 31번항의 시스템에 있어서, 소정의 기준은 시뮬레이팅된 웨이퍼 이미지의 대칭성을 포함하는 시스템.
36. 35번항의 시스템에 있어서, 대칭성은 시뮬레이팅된 웨이퍼 이미지의 코너 라운딩을 가리키는 시스템.
37. 36번항의 시스템에 있어서, 시뮬레이팅된 웨이퍼 이미지의 코너 라운딩에 기초하여 마스크에 만들어진 가능한 수리를 평가하는 수단을 추가로 포함하는 시스템.
38. 37번항의 시스템에 있어서, 가능한 수리를 평가하는 수단으로부터 신호를 수신하는 마스크 수리 도구를 추가로 포함하는 시스템.
39. 마스크 상의 피처의 품질을 정량화하는 방법에 있어서,
(a) 피처의 센터라인을 결정하는 단계;
(b) 센터라인으로부터 피처의 한 에지까지 뻗어있는 제 1 립의 제 1 길이를측정하는 단계;
(c) 센터라인으로부터 피처의 그 한 에지까지 뻗어있는 제 2 립의 제 2 길이를 측정하는 단계;
(d) 제 1 및 제 2 길이를 비교하는 단계;
(e) 복수의 횟수 동안 (b), (c), (d) 단계를 계속하는 단계;
(f) 단계 (a) 내지 (e)에 기초하여 피처의 품질에 대한 점수를 계산하는 단계를 포함하는 피처 품질 정량화 방법.
40. 물리적 마스크에 있어서,
피처의 시뮬레이팅된 웨이퍼 이미지의 센터라인의 분석에 기초하여 수정된 적어도 하나의 피처 - 여기서 센터라인은 피처의 무결함 표현에 의해 결정된 것임 - ; 및
센터라인의 분석에 기초하여 수정되지 않은 적어도 하나의 피처를 포함하는 물리적 마스크.
41. 40번항의 마스크에 있어서, 피처의 무결함 표현은 무결함 물리적 마스크에 상응하는 기준 마스크를 포함하는 마스크.
42. 마스크에 있는 피처의 에지 거칠기를 결정하는 컴퓨터 소프트웨어에 있어서,
마스크의 표현에 기초하여 피처의 센터라이을 결정하는 수단;
센터라인으로부터 피처의 한 에지까지 뻗어있는 제 1 립의 제 1 길이를 측정하는 수단;
센터라인으로부터 피처의 그 한 에지까지 뻗어있는 제 2 립의 제 2 길이를 측정하는 수단; 및
에지 거칠기를 결정하기 위해서 제 1 및 제 2 길이를 비교하는 수단을 포함하는 에지 거칠기 결정 소프트웨어.
43. 리소그래피 마스크에 있는 콘택트의 코너 라운딩을 결정하는 컴퓨터 소프트웨어에 있어서,
제 1 방향으로 콘택트의 센터라인을 결정하는 수단;
제 1 방향에 사실상 수직인 제 2 방향으로 콘택트를 통해 복수의 이론적인 컷을 제공하는 수단 - 여기서 각 컷은 센터라인으로부터 콘택트의 에지까지 뻗어있는 립을 제공함 - ; 및
코너 라운딩을 결정하기 위해서 적어도 2개의 립, 즉 콘택트의 코너 근처에 위치해 있는 하나의 립과 코너 근처에 위치해 있지 않은 또다른 립을 비교하는 수단을 포함하는 콘택트 코너 라운딩 결정 소프트웨어.
44. 리소그래피 마스크에 있는 콘택트의 대칭성을 결정하는 컴퓨터 소프트웨어에 있어서,
제 1 방향으로 콘택트의 제 1 센터라인을 결정하는 수단;
제 1 방향에 사실상 수직인 제 2 방향으로 콘택트를 통해 복수의 이론적인 컷을 제공하는 수단 - 여기서 각 컷은 콘택트의 제 1 에지로부터 콘택트의 제 2 에지까지 뻗어있는 제 1 임계 치수를 제공함 - ;
제 2 방향으로 콘택트의 센터라인을 결정하는 수단;
제 1 방향에 사실상 수직인 제 1 방향으로 콘택트를 통해 복수의 이론적인 컷을 제공하는 수단 - 여기서 각 컷은 콘택트의 제 3 에지로부터 콘택트의 제 4 에지까지 뻗어있는 제 2 임계 치수를 제공함 - ; 및
콘택트의 대칭성을 결정하기 위해서 제 1 및 제 2 임계 치수를 비교하는 수단을 포함하는 콘택트 대칭성 결정 소프트웨어.
그리하여, 본 발명은 첨부된 청구범위에 의해서만 제한된다.

Claims (58)

  1. 물리적 마스크 상의 결함에 대한 인쇄적성 분석을 제공하는 방법에 있어서,
    상기 물리적 마스크의 시뮬레이팅된 웨이퍼 이미지를 발생시키는 단계;
    무결함 물리적 마스크에 상응하는 기준 마스크의 시뮬레이팅된 웨이퍼 이미지를 발생시키는 단계;
    상기 물리적 마스크의 상기 시뮬레이팅된 웨이퍼 이미지 상의 상기 결함에 인접한 제 1 피처를 식별하는 단계;
    상기 기준 마스크의 상기 시뮬레이팅된 웨이퍼 이미지 상의 제 2 피처를 식별하는 단계 - 여기서 상기 제 2 피처는 상기 제 1 피처에 상응함 - ; 및
    상기 인쇄적성 분석을 제공하기 위해 상기 제 1 및 제 2 피처를 비교하는 단계를 포함하는 것을 특징으로 하는 인쇄적성 분석 제공 방법.
  2. 제 1 항에 있어서,
    비교 단계는 상기 제 1 피처의 제 1 임계 치수와 상기 제 2 피처의 제 2 임계 치수를 결정하는 단계를 포함하는 것을 특징으로 하는 인쇄적성 분석 제공 방법.
  3. 제 2 항에 있어서,
    비교 단계는 제 1 및 제 2 피처에 대한 상대 임계 치수 편차를 산정하는 단계를 포함하는 것을 특징으로 하는 인쇄적성 분석 제공 방법.
  4. 제 3 항에 있어서,
    상기 상대 임계 치수 편차 산정 단계는 상기 제 2 임계 치수를 상기 제 1 임계 치수로부터 차감하고 결과치를 상기 제 2 임계 치수로 나누는 단계를 포함하는 것을 특징으로 하는 인쇄적성 분석 제공 방법.
  5. 제 3 항에 있어서,
    상기 물리적 마스크의 상기 시뮬레이팅된 웨이퍼 이미지 상의 상기 결함에 근접한 복수의 제 1 피처를 식별하는 단계;
    상기 기준 마스크의 상기 시뮬레이팅된 웨이퍼 이미지 상의 복수의 제 2 피처를 식별하는 단계 - 여기서 상기 복수의 제 2 피처는 상기 복수의 제 1 피처에 상응함 - ; 및
    상기 복수의 제 1 및 제 2 피처에 대해서 복수의 상대 임계 치수 편차를 산정하는 단계를 추가로 포함하는 것을 특징으로 하는 인쇄적성 분석 제공 방법.
  6. 제 5 항에 있어서,
    상기 복수의 상대 임계 치수 편차 중에서 가장 큰 것을 결정함으로써 최대 임계 치수 편차를 제공하는 단계를 추가로 포함하는 것을 특징으로 하는 인쇄적성 분석 제공 방법.
  7. 제 1 항에 있어서,
    상기 물리적 마스크의 상기 시뮬레이팅된 웨이퍼 이미지 상의 제 3 무결함 피처를 식별하는 단계;
    상기 기준 마스크의 상기 시뮬레이팅된 웨이퍼 이미지 상의 제 4 피처를 식별하는 단계 - 여기서 상기 제 4 피처는 상기 제 3 피처에 상응함 - ; 및
    상기 제 3 및 제 4 피처를 비교하는 단계를 추가로 포함하는 것을 특징으로 하는 인쇄적성 분석 제공 방법.
  8. 제 7 항에 있어서,
    상기 제 3 및 제 4 피처 비교 단계는 상기 제 3 피처의 제 1 임계 치수와 상기 제 4 피처의 제 2 임계 치수를 결정하는 단계를 포함하는 것을 특징으로 하는 인쇄적성 분석 제공 방법.
  9. 제 8 항에 있어서,
    상기 비교 단계는 제 1 및 제 2 피처에 대한 임계 치수 편차를 산정하는 단계를 포함하는 것을 특징으로 하는 인쇄적성 분석 제공 방법.
  10. 제 9 항에 있어서,
    상기 임계 치수 편차 산정 단계는 상기 제 1 임계 치수를 상기 제 2 임계 치수로부터 차감하고 결과치를 상기 제 2 임계 치수로 나누는 단계를 포함하는 것을 특징으로 하는 인쇄적성 분석 제공 방법.
  11. 제 9 항에 있어서,
    상기 물리적 마스크의 상기 시뮬레이팅된 웨이퍼 이미지 상의 N개 무결함 피처에 대한 상기 임계 치수 편차 산정 단계를 추가로 포함하고, N은 2 이상의 정수인 것을 특징으로 하는 인쇄적성 분석 제공 방법.
  12. 제 11 항에 있어서,
    각 무결함 피처에 대한 상기 임계 치수 편차가 더해지고, 결과치는 N으로 나누어짐으로써 평균 임계 치수 편차를 제공하는 것을 특징으로 하는 인쇄적성 분석 제공 방법.
  13. 물리적 마스크 상의 결함에 대한 인쇄적성 분석을 제공하는 방법에 있어서,
    상기 물리적 마스크의 시뮬레이팅된 웨이퍼 이미지를 발생시키는 단계;
    무결함 물리적 마스크에 상응하는 기준 마스크의 시뮬레이팅된 웨이퍼 이미지를 발생시키는 단계; 및
    상기 인쇄적성 분석을 제공하기 위해 상기 물리적 마스크 및 기준 마스크의 상기 시뮬레이팅된 웨이퍼 이미지를 비교하는 단계를 포함하는 것을 특징으로 하는 인쇄적성 분석 제공 방법.
  14. 제 13 항에 있어서,
    상기 비교 단계에 기초하여 결함 중대성 점수를 결정하는 단계를 추가로 포함하는 것을 특징으로 하는 인쇄적성 분석 제공 방법.
  15. 제 13 항에 있어서,
    상기 비교 단계는
    상기 물리적 마스크의 상기 시뮬레이팅된 웨이퍼 이미지 상의 제 1 피처에 기초하여 제 1 처리 윈도우를 발생시키는 단계;
    상기 기준 마스크의 상기 시뮬레이팅된 웨이퍼 이미지 상의 제 2 피처에 기초하여 제 2 처리 윈도우를 발생시키는 단계 - 여기서 상기 제 2 피처는 상기 제 1 피처에 상응함 - ; 및
    상기 제 1 및 제 2 처리 윈도우에 기초하여 공통 처리 윈도우를 결정하는 단계를 포함하는 것을 특징으로 하는 인쇄적성 분석 제공 방법.
  16. 제 15 항에 있어서,
    상기 공통 처리 윈도우는 노출 편차 대 디포커스의 그래프에 기초하는 것을 특징으로 하는 인쇄적성 분석 제공 방법.
  17. 제 15 항에 있어서,
    상기 공통 처리 윈도우는 노출 관용도 대 초점 깊이의 그래프에 기초하는 것을 특징으로 하는 인쇄적성 분석 제공 방법.
  18. 물리적 마스크 상의 결함에 대한 인쇄적성 분석을 제공하는 방법에 있어서,
    상기 물리적 마스크의 시뮬레이팅된 웨이퍼 이미지를 발생시키는 단계;
    상기 시뮬레이팅된 웨이퍼 이미지 상에서 상기 결함에 의해 영향을 받은 제 1 피처를 식별하는 단계;
    상기 시뮬레이팅된 웨이퍼 이미지 상에서 상기 결함에 의해 영향을 받지 않은 제 2 피처를 식별하는 단계 - 여기서 상기 제 1 및 제 2 피처는 상기 결함이 없을 시 실질적으로 동일한 임계 치수를 가짐 - ; 및
    상기 제 1 및 제 2 피처를 비교하는 단계를 포함하는 것을 특징으로 하는 인쇄적성 분석 제공 방법.
  19. 제 18 항에 있어서,
    상기 비교 단계는
    상기 제 1 피처에 대한 제 1 처리 윈도우를 제공하는 단계;
    상기 제 2 피처에 대한 제 2 처리 윈도우를 제공하는 단계;
    상기 제 1 및 제 2 처리 윈도우에 기초하여 공통 처리 윈도우를 결정하는 단계를 포함하는 것을 특징으로 하는 인쇄적성 분석 제공 방법.
  20. 제 19 항에 있어서,
    상기 공통 처리 윈도우는 노출 편차 대 디포커스의 그래프에 기초하는 것을 특징으로 하는 인쇄적성 분석 제공 방법.
  21. 제 19 항에 있어서,
    상기 공통 처리 윈도우는 노출 관용도 대 초점 깊이의 그래프에 기초하는 것을 특징으로 하는 인쇄적성 분석 제공 방법.
  22. 물리적 마스크를 제조하는 방법에 있어서,
    집적회로를 설계하는 단계;
    상기 집적회로의 한 층에 대한 마스크 설계 데이터를 생성하는 단계;
    상기 마스크 설계 데이터에 일치하는 물리적 마스크를 제작하는 단계;
    상기 물리적 마스크의 시뮬레이팅된 웨이퍼 이미지와 기준 마스크의 시뮬레이팅된 웨이퍼 이미지에 기초하여 상기 물리적 마스크를 검사하는 단계 - 여기서 상기 기준 마스크는 무결함 물리적 마스크에 상응함 - ; 및
    상기 물리적 마스크가 검사를 통과하는지의 여부를 판정하는 단계를 포함하는 것을 특징으로 하는 물리적 마스크 제조 방법.
  23. 제 22 항에 있어서,
    상기 검사 단계는 상기 물리적 마스크와 기준 마스크의 상기 시뮬레이팅된웨이퍼 이미지를 비교하는 단계를 포함하는 것을 특징으로 하는 물리적 마스크 제조 방법.
  24. 제 23 항에 있어서,
    상기 검사 단계는 상기 비교 단계에 기초하여 결함 중대성 점수를 결정하는 단계를 추가로 포함하는 것을 특징으로 하는 물리적 마스크 제조 방법.
  25. 제 23 항에 있어서,
    상기 검사 단계는
    상기 물리적 마스크의 상기 시뮬레이팅된 웨이퍼 이미지 상의 제 1 피처에 기초하여 제 1 처리 윈도우를 발생시키는 단계;
    상기 기준 마스크의 상기 시뮬레이팅된 웨이퍼 이미지 상의 제 2 피처에 기초하여 제 2 처리 윈도우를 발생시키는 단계 - 여기서 상기 제 2 피처는 상기 제 1 피처에 상응함 - ; 및
    상기 제 1 및 제 2 처리 윈도에 기초하여 공통 처리 윈도루를 결정하는 단계를 추가로 포함하는 것을 특징으로 하는 물리적 마스크 제조 방법.
  26. 제 25 항에 있어서,
    상기 공통 처리 윈도우는 노출 편차 대 디포커스의 그래프에 기초하는 것을 특징으로 하는 물리적 마스크 제조 방법.
  27. 제 25 항에 있어서,
    상기 공통 처리 윈도우는 노출 관용도 대 초점 깊이의 그래프에 기초하는 것을 특징으로 하는 물리적 마스크 제조 방법.
  28. 마스크 상의 결함에 대한 결함 중대성 점수를 발생시키는 방법에 있어서,
    상기 결함과 상기 마스크 상의 제 1 피처에 대한 2차원 분석을 제공하는 단계;
    상기 마스크의 제 1 웨이퍼 이미지를 제공하는 단계; 및
    상기 웨이퍼 이미지 상의 제 2 피처에 대한 결함 분석을 제공하는 단계를 포함하고,
    상기 제 1 피처는 상기 결함에 근접하고,
    상기 제 2 피처는 시뮬레이팅되는 상기 제 1 피처에 상응하는 것을 특징으로 하는 결함 중대성 점수 발생 방법.
  29. 제 28 항에 있어서,
    상기 마스크의 무결함 기준 마스크 상에서 제 3 피처를 식별하는 단계;
    상기 기준 이미지의 제 2 웨이퍼 이미지를 제공하는 단계; 및
    제 4 피처에 대한 결함 분석을 제공하는 단계를 추가로 포함하고,
    상기 제 3 피처는 상기 제 1 피처를 나타내고,
    상기 제 2 웨이퍼 이미지는 시뮬레이팅되는 상기 제 3 피처에 상응하는 상기 제 4 피처를 포함하는 것을 특징으로 하는 결함 중대성 점수 발생 방법.
  30. 제 29 항에 있어서,
    상기 제 2 및 제 4 피처에 대한 결함 분석 제공 단계는 상기 제 2 및 제 4 피처에 대한 임계 치수를 비교하는 단계를 포함하는 것을 특징으로 하는 결함 중대성 점수 발생 방법.
  31. 제 30 항에 있어서,
    상기 결함 분석 제공 단계는 노출을 다르게 하여 상기 임계 치수의 변화를 결정하는 단계를 추가로 포함하는 것을 특징으로 하는 결함 중대성 점수 발생 방법.
  32. 제 31 항에 있어서,
    상기 결함 분석 제공 단계는 각 노출에 대한 최대 임계 치수 변화를 결정하는 단계를 추가로 포함하는 것을 특징으로 하는 결함 중대성 점수 발생 방법.
  33. 제 32 항에 있어서,
    상기 결함 분석 제공 단계는 각 노출에 대한 상대적 최대 임계 치수 변화를 산정하는 단계를 추가로 포함하는 것을 특징으로 하는 결함 중대성 점수 발생 방법.
  34. 제 29 항에 있어서,
    상기 제 1 및 제 2 웨이퍼 이미지 사이의 교정(calibration)을 제공하는 단계를 추가로 포함하는 것을 특징으로 하는 결함 중대성 점수 발생 방법.
  35. 물리적 마스크 상의 결함을 분석하는 시스템에 있어서,
    상기 물리적 마스크로부터 마스크 이미지와 기준 마스크로부터 기준 이미지를 발생시키는 검사 도구;
    상기 마스크 이미지로부터 스텝퍼 마스크 이미지와 상기 기준 이미지로부터 스텝퍼 기준 이미지를 시뮬레이팅하는 웨이퍼 이미지 발생기; 및
    상기 스텝퍼 마스크 이미지와 상기 스텝퍼 기준 이미지를 비교하는 결함 인쇄적성 분석 발생기를 포함하는 것을 특징으로 하는 결함 분석 시스템.
  36. 제 35 항에 있어서,
    상기 결함이 상기 물리적 마스크의 임계 영역에 위치하는지의 여부를 판정하는 임계 영역 식별 발생기를 추가로 포함하고,
    상기 임계 영역 식별 발생기는 상기 결함 인쇄적성 분석 발생기에 출력을 제공하는 것을 특징으로 하는 결함 분석 시스템.
  37. 제 35 항에 있어서,
    상기 결함 인쇄적성 분석 발생기로부터 데이터를 수신하고 제안된 수리를 상기 결함에 제공하는 비트맵 편집기를 추가로 포함하는 것을 특징으로 하는 결함 분석 시스템.
  38. 제 37 항에 있어서,
    상기 제안된 수리에 대응하는 마스크 수리 도구를 추가로 포함하는 것을 특징으로 하는 결함 분석 시스템.
  39. 제 38 항에 있어서,
    상기 시스템은 상기 결함을 자동적으로 분석하고 상기 마스크 수리 도구는 상기 제안된 수리에 자동적으로 대응하는 것을 특징으로 하는 결함 분석 시스템.
  40. 제 35 항에 있어서,
    상기 시스템은 상기 결함을 자동적으로 분석하고 상기 결함 인쇄적성 분석 발생기는 상기 결함에 대한 중대성 점수를 자동적으로 제공하는 것을 특징으로 하는 결함 분석 시스템.
  41. 물리적 마스크 상의 결함에 대한 결함 중대성 점수를 발생시키는 시스템에 있어서,
    상기 결함에 근접한 상기 물리적 마스크 상의 피처의 제 1 이미지와 상기 기준 이미지 상의 상기 피처의 제 2 이미지를 발생시키는 수단;
    상기 제 1 이미지의 제 1 웨이퍼 이미지와 상기 제 2 이미지의 제 2 웨이퍼 이미지를 시뮬레이팅하는 수단; 및
    상기 제 1 및 제 2 웨이퍼 이미지에 기초하여 상기 결함 중대성 점수를 발생시키는 수단을 포함하는 것을 특징으로 하는 결함 중대성 점수 발생 시스템.
  42. 제 41 항에 있어서,
    상기 결함이 임계 영역 내에 있는지의 여부를 식별하는 수단을 추가로 포함하고,
    상기 식별 수단은 상기 결함 중대성 점수 발생 수단에 데이터를 제공하는 것을 특징으로 하는 결함 중대성 점수 발생 시스템.
  43. 제 41 항에 있어서,
    상기 시뮬레이팅 수단은 복수의 리소그래피 조건에 대응하는 수단을 포함하는 것을 특징으로 하는 결함 중대성 점수 발생 시스템.
  44. 물리적 마스크에 있어서,
    상기 물리적 마스크의 시뮬레이팅된 웨이퍼 이미지와 무결함 물리적 마스크에 상응하는 기준 마스크의 시뮬레이팅된 웨이퍼 이미지의 분석에 기초하여 수정되는 적어도 하나의 결함; 및
    상기 물리적 마스크의 상기 시뮬레이팅된 웨이퍼 이미지와 상기 기준 마스크의 상기 시뮬레이팅된 웨이퍼 이미지의 분석에 기초하여 수정되지 않는 적어도 하나의 결함을 포함하는 것을 특징으로 하는 물리적 마스크.
  45. 물리적 마스크에 있어서,
    상기 물리적 마스크의 시뮬레이팅된 웨이퍼 이미지의 분석에 기초하여 수정되는 적어도 하나의 불규칙성; 및
    상기 물리적 마스크의 상기 시뮬레이팅된 웨이퍼 이미지의 분석에 기초하여 수정되지 않는 적어도 하나의 불규칙성을 포함하는 것을 특징으로 하는 물리적 마스크.
  46. 물리적 마스크에 있어서,
    상기 물리적 마스크의 시뮬레이팅된 웨이퍼 이미지와 무결함 물리적 마스크에 상응하는 기준 마스크의 시뮬레이팅된 웨이퍼 이미지의 비교에 기초하여 수정되는 적어도 하나의 피처; 및
    상기 물리적 마스크의 상기 시뮬레이팅된 웨이퍼 이미지와 상기 기준 마스크의 상기 시뮬레이팅된 웨이퍼 이미지의 비교에 기초하여 수정되지 않는 적어도 하나의 피처를 포함하는 것을 특징으로 하는 물리적 마스크.
  47. 물리적 마스크를 이용하여 제조되는 집적회로에 있어서,
    상기 물리적 마스크의 시뮬레이팅된 웨이퍼 이미지와 무결함 물리적 마스크에 상응하는 기준 마스크의 시뮬레이팅된 웨이퍼 이미지의 비교에 기초하여 수정되는 적어도 하나의 피처; 및
    상기 물리적 마스크의 상기 시뮬레이팅된 웨이퍼 이미지와 상기 기준 마스크의 상기 시뮬레이팅된 웨이퍼 이미지의 비교에 기초하여 수정되지 않는 적어도 하나의 피처를 포함하는 것을 특징으로 하는 집적회로.
  48. 다음의 단계에 의해 만들어지는 물리적 마스크를 이용하여 제조되는 집적회로에 있어서,
    상기 물리적 마스크의 시뮬레이팅된 웨이퍼 이미지를 발생시키는 단계;
    무결함 물리적 마스크에 상응하는 기준 마스크의 시뮬레이팅된 웨이퍼 이미지를 발생시키는 단계; 및
    상기 물리적 마스크 및 기준 마스크의 상기 시뮬레이팅된 웨이퍼 이미지를 비교하는 단계에 의해 만들어지는 물리적 마스크를 이용하여 제조되는 집적회로.
  49. 제 1 마스크 상의 결함을 분석하는 컴퓨터 소프트웨어에 있어서,
    상기 제 1 마스크의 시뮬레이팅된 웨이퍼 이미지를 발생시키는 수단;
    무결함 제 1 마스크에 상응하는 제 2 마스크의 시뮬레이팅된 웨이퍼 이미지를 발생시키는 수단; 및
    상기 결함의 인쇄적성을 분석하기 위해 상기 제 1 및 제 2 마스크의 상기 시뮬레이팅된 웨이퍼 이미지를 비교하는 수단을 포함하는 것을 특징으로 하는 결함 분석 컴퓨터 소프트웨어.
  50. 제 49 항에 있어서,
    상기 비교 수단은 상기 결함에 대한 결함 중대성 점수를 발생시키는 수단을 포함하는 것을 특징으로 하는 결함 분석 컴퓨터 소프트웨어.
  51. 제 49 항에 있어서,
    상기 인쇄적성에 기초하여 상기 결함에 대한 수리 정보를 제공하는 수단을 추가로 포함하는 것을 특징으로 하는 결함 분석 컴퓨터 소프트웨어.
  52. 제 50 항에 있어서,
    상기 결함 중대성 점수에 기초하여 상기 결함에 대한 수리 정보를 제공하는 수단을 추가로 포함하는 것을 특징으로 하는 결함 분석 컴퓨터 소프트웨어.
  53. 결함을 포함하는 물리적 마스크를 검사하는 방법에 있어서,
    상기 물리적 마스크의 시뮬레이팅된 웨이퍼 이미지를 발생시키는 단계;
    무결함 물리적 마스크에 상응하는 기준 마스크의 시뮬레이팅된 웨이퍼 이미지를 발생시키는 단계; 및
    상기 결함에 대한 정보를 제공하기 위해 상기 물리적 마스크 및 기준 마스크의 상기 시뮬레이팅된 웨이퍼 이미지를 비교하는 단계를 포함하는 것을 특징으로 하는 물리적 마스크 검사 방법.
  54. 제 53 항에 있어서,
    상기 비교 단계는 결함 중대성 점수를 발생시키는 단계를 포함하는 것을 특징으로 하는 물리적 마스크 검사 방법.
  55. 제 53 항에 있어서,
    상기 결함에 대한 상기 정보를 마스크 수리 도구에 전달하는 단계를 추가로 포함하는 것을 특징으로 하는 물리적 마스크 검사 방법.
  56. 제 54 항에 있어서,
    상기 결함 중대성 점수를 마스크 수리 도구에 전달하는 단계를 추가로 포함하는 것을 특징으로 하는 물리적 마스크 검사 방법.
  57. 제 53 항에 있어서,
    상기 기준 마스크는
    상기 물리적 마스크의 레이아웃의 시뮬레이팅된 이미지,
    상기 결함을 포함하는 영역과 사실상 동일한 패턴을 갖는 상기 물리적 마스크의 무결함 영역, 및
    상기 물리적 마스크가 제조시에 처리되는 대로의 상기 물리적 마스크의 시뮬레이팅된 이미지 중의 하나를 포함하는 것을 특징으로 하는 물리적 마스크 검사 방법.
  58. 제 53 항에 있어서,
    상기 물리적 마스크의 상기 시뮬레이팅된 이미지 발생 단계는 이미지 캡처 중에 생성된 이미지 왜곡을 보상하는 것을 특징으로 하는 물리적 마스크 검사 방법.
KR1020037012260A 2001-03-20 2002-02-28 마스크 결함 인쇄적성 분석을 제공하는 시스템과 방법 KR100610441B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US09/814,023 US6873720B2 (en) 2001-03-20 2001-03-20 System and method of providing mask defect printability analysis
US09/814,025 2001-03-20
US09/814,023 2001-03-20
US09/814,025 US6925202B2 (en) 2001-03-20 2001-03-20 System and method of providing mask quality control
PCT/US2002/006491 WO2002075793A2 (en) 2001-03-20 2002-02-28 System and method of providing mask defect printability analysis

Publications (2)

Publication Number Publication Date
KR20040021591A true KR20040021591A (ko) 2004-03-10
KR100610441B1 KR100610441B1 (ko) 2006-08-08

Family

ID=27123806

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037012260A KR100610441B1 (ko) 2001-03-20 2002-02-28 마스크 결함 인쇄적성 분석을 제공하는 시스템과 방법

Country Status (5)

Country Link
JP (1) JP4663214B2 (ko)
KR (1) KR100610441B1 (ko)
CN (1) CN1290168C (ko)
AU (1) AU2002245560A1 (ko)
WO (1) WO2002075793A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101522050B1 (ko) * 2007-11-17 2015-05-20 칼 짜이스 에스엠에스 게엠베하 위상 시프트 마스크 수리 방법

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9002497B2 (en) * 2003-07-03 2015-04-07 Kla-Tencor Technologies Corp. Methods and systems for inspection of wafers and reticles using designer intent data
DE10360536B4 (de) * 2003-09-30 2006-12-21 Infineon Technologies Ag Verfahren zur Inspektion von Masken eines Maskensatzes für eine Mehrfachbelichtung
US8151220B2 (en) * 2003-12-04 2012-04-03 Kla-Tencor Technologies Corp. Methods for simulating reticle layout data, inspecting reticle layout data, and generating a process for inspecting reticle layout data
WO2005073807A1 (en) * 2004-01-29 2005-08-11 Kla-Tencor Technologies Corporation Computer-implemented methods for detecting defects in reticle design data
CN100413018C (zh) * 2004-06-14 2008-08-20 中芯国际集成电路制造(上海)有限公司 用于处理半导体器件的同一性的方法和系统
CN100428401C (zh) * 2004-06-14 2008-10-22 中芯国际集成电路制造(上海)有限公司 用于半导体器件的成品率相似性的方法和系统
JP4904034B2 (ja) * 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体
CN1800971A (zh) 2004-09-14 2006-07-12 Asml蒙片工具有限公司 一种用于实施全芯片制造可靠性检查和校正的方法
US7729529B2 (en) 2004-12-07 2010-06-01 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting and/or sorting defects in a design pattern of a reticle
JP2006337668A (ja) * 2005-06-01 2006-12-14 Toshiba Corp 半導体装置の製造方法およびレイアウトパターンの作成プログラム
US7587704B2 (en) * 2005-09-09 2009-09-08 Brion Technologies, Inc. System and method for mask verification using an individual mask error model
JP4774917B2 (ja) * 2005-10-27 2011-09-21 凸版印刷株式会社 マスクパターンの検査装置及び検査方法
US20070177788A1 (en) * 2006-01-31 2007-08-02 David Liu System and method for detecting wafer failure in wet bench applications
US7596736B2 (en) * 2006-03-24 2009-09-29 International Business Machines Corporation Iterative process for identifying systematics in data
US7794903B2 (en) 2006-08-15 2010-09-14 Infineon Technologies Ag Metrology systems and methods for lithography processes
CN101512746B (zh) * 2006-09-29 2010-08-11 佳能机械株式会社 片状器件拾取方法及片状器件拾取装置
US8038897B2 (en) * 2007-02-06 2011-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for wafer inspection
JP2009092954A (ja) * 2007-10-09 2009-04-30 Toshiba Corp パターン評価方法
NL1036189A1 (nl) * 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
JP4942800B2 (ja) 2009-08-18 2012-05-30 株式会社ニューフレアテクノロジー 検査装置
JP4918598B2 (ja) * 2010-01-18 2012-04-18 株式会社ニューフレアテクノロジー 検査装置および検査方法
US8196072B2 (en) * 2010-03-31 2012-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus of patterning semiconductor device
US8234603B2 (en) * 2010-07-14 2012-07-31 International Business Machines Corporation Method for fast estimation of lithographic binding patterns in an integrated circuit layout
CN102053093A (zh) * 2010-11-08 2011-05-11 北京大学深圳研究生院 一种晶圆表面切割芯片的表面缺陷检测方法
CN102789133B (zh) * 2011-05-16 2014-09-03 中芯国际集成电路制造(上海)有限公司 一种显影后检查方法
CN102902154A (zh) * 2011-07-29 2013-01-30 上海华虹Nec电子有限公司 光学临近效应修正工艺模型的建模方法
KR102427139B1 (ko) 2014-02-12 2022-07-29 에이에스엠엘 네델란즈 비.브이. 프로세스 윈도우를 최적화하는 방법
WO2015189026A2 (en) 2014-06-10 2015-12-17 Asml Netherlands B.V. Computational wafer inspection
WO2017171890A1 (en) * 2016-04-02 2017-10-05 Intel Corporation Systems, methods, and apparatuses for reducing opc model error via a machine learning algorithm
US10451563B2 (en) * 2017-02-21 2019-10-22 Kla-Tencor Corporation Inspection of photomasks by comparing two photomasks
DE102017203879B4 (de) * 2017-03-09 2023-06-07 Carl Zeiss Smt Gmbh Verfahren zum Analysieren einer defekten Stelle einer photolithographischen Maske
US10503078B2 (en) * 2017-09-01 2019-12-10 Kla-Tencor Corporation Criticality analysis augmented process window qualification sampling
CN108932922B (zh) * 2018-07-03 2021-05-14 京东方科技集团股份有限公司 一种修复能力测试装置及方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4809341A (en) * 1986-07-18 1989-02-28 Fujitsu Limited Test method and apparatus for a reticle or mask pattern used in semiconductor device fabrication
US5029222A (en) * 1987-09-02 1991-07-02 Fujitsu Limited Photoelectron image projection apparatus
JPH04165353A (ja) * 1990-10-30 1992-06-11 Oki Electric Ind Co Ltd ホトマスク修正方法
JPH0728226A (ja) * 1993-04-30 1995-01-31 Internatl Business Mach Corp <Ibm> 領域的イメージを測定する装置及び方法
JP2776416B2 (ja) * 1996-05-07 1998-07-16 日本電気株式会社 レチクル外観検査装置
US5795688A (en) * 1996-08-14 1998-08-18 Micron Technology, Inc. Process for detecting defects in photomasks through aerial image comparisons
JP3750270B2 (ja) * 1997-04-21 2006-03-01 凸版印刷株式会社 フォトマスク欠陥解析装置および欠陥解析方法
JP3750272B2 (ja) * 1997-04-30 2006-03-01 凸版印刷株式会社 フォトマスク欠陥解析装置および欠陥解析方法ならびに該欠陥解析プログラムを記録した記録媒体
US6757645B2 (en) * 1997-09-17 2004-06-29 Numerical Technologies, Inc. Visual inspection and verification system
US5965306A (en) * 1997-10-15 1999-10-12 International Business Machines Corporation Method of determining the printability of photomask defects
US6614924B1 (en) * 1999-08-02 2003-09-02 Applied Materials, Inc. Adaptive mask technique for defect inspection
JP2001056306A (ja) * 1999-08-19 2001-02-27 Jeol Ltd 試料表面検査装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101522050B1 (ko) * 2007-11-17 2015-05-20 칼 짜이스 에스엠에스 게엠베하 위상 시프트 마스크 수리 방법

Also Published As

Publication number Publication date
WO2002075793A3 (en) 2003-05-01
WO2002075793A2 (en) 2002-09-26
CN1498418A (zh) 2004-05-19
CN1290168C (zh) 2006-12-13
JP2005500671A (ja) 2005-01-06
KR100610441B1 (ko) 2006-08-08
JP4663214B2 (ja) 2011-04-06
WO2002075793B1 (en) 2004-05-21
AU2002245560A1 (en) 2002-10-03

Similar Documents

Publication Publication Date Title
KR100610441B1 (ko) 마스크 결함 인쇄적성 분석을 제공하는 시스템과 방법
US6925202B2 (en) System and method of providing mask quality control
US6873720B2 (en) System and method of providing mask defect printability analysis
KR100596760B1 (ko) 시각 검사 및 검증 시스템
US7107571B2 (en) Visual analysis and verification system using advanced tools
KR102349124B1 (ko) 측정 방법 및 장치
JP5334956B2 (ja) 個別マスクエラーモデルを使用するマスク検証を行うシステムおよび方法
US7379175B1 (en) Methods and systems for reticle inspection and defect review using aerial imaging
US7123356B1 (en) Methods and systems for inspecting reticles using aerial imaging and die-to-database detection
US7027143B1 (en) Methods and systems for inspecting reticles using aerial imaging at off-stepper wavelengths
US7788629B2 (en) Systems configured to perform a non-contact method for determining a property of a specimen
US20040052411A1 (en) Soft defect printability simulation and analysis for masks
US20060051681A1 (en) Method of repairing a photomask having an internal etch stop layer
JP2000347384A (ja) 集束イオンビーム修正装置及び欠陥保証方法
Weisbuch et al. Improving ORC methods and hotspot detection with the usage of aerial images metrology
Martin et al. Manufacturability study of masks created by inverse lithography technology (ILT)
US20230131950A1 (en) Mask inspection for semiconductor specimen fabrication
KR20230134442A (ko) 반도체 시편 제조를 위한 마스크 검사
KR20080114422A (ko) 포토마스크의 홀패턴 결함 검사 방법
Lessing et al. New advancements in focused ion beam repair of alternating phase-shift masks
Vacca et al. Inspection of laser-written reticles for the 90-nm node

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120727

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20130723

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140722

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160630

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170704

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20180628

Year of fee payment: 13