KR20230134442A - 반도체 시편 제조를 위한 마스크 검사 - Google Patents

반도체 시편 제조를 위한 마스크 검사 Download PDF

Info

Publication number
KR20230134442A
KR20230134442A KR1020230031114A KR20230031114A KR20230134442A KR 20230134442 A KR20230134442 A KR 20230134442A KR 1020230031114 A KR1020230031114 A KR 1020230031114A KR 20230031114 A KR20230031114 A KR 20230031114A KR 20230134442 A KR20230134442 A KR 20230134442A
Authority
KR
South Korea
Prior art keywords
defect
images
image
mask
focus
Prior art date
Application number
KR1020230031114A
Other languages
English (en)
Inventor
블라디미르 오베츠킨
아리엘 쉬칼림
알렉산더 체레쉬냐
요나탄 오르
샤니 벤 야코브
로넨 마드몬
Original Assignee
어플라이드 머티리얼즈 이스라엘 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티리얼즈 이스라엘 리미티드 filed Critical 어플라이드 머티리얼즈 이스라엘 리미티드
Publication of KR20230134442A publication Critical patent/KR20230134442A/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/01Arrangements or apparatus for facilitating the optical investigation
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/10Segmentation; Edge detection
    • G06T7/13Edge detection
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/30Determination of transform parameters for the alignment of images, i.e. image registration
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/01Arrangements or apparatus for facilitating the optical investigation
    • G01N2021/0106General arrangement of respective parts
    • G01N2021/0112Apparatus in one mechanical, optical or electronic block
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N2021/95676Masks, reticles, shadow masks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Biochemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Quality & Reliability (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Pharmaceuticals Containing Other Organic And Inorganic Compounds (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)

Abstract

반도체 시편을 제조하는 데 사용가능한 마스크를 검사하는 시스템 및 방법이 제공된다. 방법은 결함 후보를 나타내는 결함 픽셀들을 포함하는 원래 결함 이미지, 및 결함 후보의 위치, 및 복수의 포커스 레벨들에서 취득된 기준 이미지들의 뱅크 및 결함 후보의 결함 이미지들의 뱅크를 획득하는 단계; 복수의 포커스 레벨들 중에서 최적 포커스를 결정하고, 최적 포커스의 복합 결함 이미지를 생성하는 단계; 결함 픽셀들에 대응하는 복합 결함 이미지의 타겟 픽셀들의 영역을 식별하기 위해 원래 결함 이미지와 복합 결함 이미지를 정렬하는 단계; 및 각각의 포커스 레벨에 대해, 적어도 하나의 기준 이미지와 결함 이미지들의 세트 사이의 변위를 나타내는 측정치를 제공하여, 복수의 포커스 레벨들에 대응하는 복수의 측정치들을 생성하는 단계를 포함한다.

Description

반도체 시편 제조를 위한 마스크 검사{MASK INSPECTION FOR SEMICONDUCTOR SPECIMEN FABRICATION}
본 개시된 주제는 일반적으로, 마스크 검사의 분야에 관한 것으로, 더 구체적으로, 포토마스크에 대한 결함 검출 및 측정에 관한 것이다.
제조되는 마이크로전자 디바이스들의 극초대규모 집적 회로와 연관된, 높은 밀도 및 성능에 대한 현재의 요구들은 미크론미만 피쳐들, 증가된 트랜지스터 및 회로 속도들, 및 개선된 신뢰성을 필요로 한다. 반도체 프로세스들이 진전됨에 따라, 패턴 치수들, 예컨대, 라인 폭, 및 다른 유형들의 임계 치수들이 연속적으로 축소된다. 그러한 요구들은 높은 정밀도 및 균일성으로 디바이스 피쳐들을 형성하는 것을 필요로 하는데, 이는 차례로, 디바이스들이 여전히 반도체 웨이퍼들의 형태로 되어 있는 동안 디바이스들의 자동화된 검사를 포함하는, 제조 프로세스의 주의 깊은 모니터링을 필요로 한다.
반도체 디바이스들은 종종, 포토리소그래피 프로세스에서 포토리소그래피 마스크들(포토마스크들 또는 마스크들 또는 레티클들로 또한 지칭됨)을 사용하여 제조된다. 포토리소그래피 프로세스는 반도체 디바이스들의 제조에서 주요 프로세스들 중 하나이고, 제조될 반도체 디바이스들의 회로 설계에 따라 웨이퍼의 표면을 패터닝하는 단계를 포함한다. 그러한 회로 설계는 먼저, 마스크 상에 패터닝된다. 따라서, 작동 반도체 디바이스들을 획득하기 위해, 마스크는 결함이 없어야 한다. 마스크들은 복잡한 프로세스에 의해 제조되고, 다양한 결함들 및 변동들을 겪을 수 있다.
추가적으로, 마스크는 종종, 웨이퍼 상에 많은 다이들을 생성하기 위해 반복 방식으로 사용된다. 따라서, 마스크 상의 임의의 결함은 웨이퍼 상에서 다수 회 반복될 것이고, 다수의 디바이스들이 결함있게 할 것이다. 생산할 만한 프로세스를 확립하는 것은, 특히, 대규모의 회로 집적 및 반도체 디바이스들의 감소하는 크기를 고려하여, 전체 리소그래피 프로세스의 엄격한 제어를 요구한다.
다양한 마스크 검사 방법들이 개발되었고 활용되었다. 마스크들을 설계하고 평가하는 특정한 종래의 기법들에 따르면, 마스크가 생성되고 그를 통해 웨이퍼를 노출시키는 데 사용된 다음, 마스크의 피쳐들/패턴들이 설계에 따라 웨이퍼에 전사되었는지 여부를 결정하기 위해 검사가 수행된다. 의도된 설계로부터의 최종 인쇄된 피쳐들에서의 임의의 변동들은 설계를 수정하는 것, 마스크를 수리하는 것, 새로운 마스크를 생성하는 것, 및/또는 새로운 웨이퍼를 노출시키는 것을 필요로 할 수 있다.
대안적으로, 마스크는 다양한 마스크 검사 툴들을 사용하여 직접 검사될 수 있다. 검사 프로세스는 복수의 검사 단계들을 포함할 수 있다. 마스크의 제조 프로세스 동안, 검사 단계들은 여러 번, 예를 들어, 특정 층들의 제조 또는 처리 후에 등에 수행될 수 있다. 추가적으로 또는 대안적으로, 각각의 검사 단계는, 예를 들어, 상이한 마스크 위치들에 대해 또는 상이한 검사 설정들로 동일한 마스크 위치들에 대해 다수 회 반복될 수 있다.
마스크 검사는 일반적으로, 광 또는 전자들을 마스크쪽으로 지향시키고 마스크로부터의 광 또는 전자들을 검출함으로써 마스크에 대한 특정 검사 출력(예를 들어, 이미지들, 신호들 등)을 생성하는 것을 수반한다. 출력이 일단 생성되면, 결함 검출은 전형적으로, 결함 검출 방법 및/또는 알고리즘을 출력에 적용함으로써 수행된다. 빈번하게, 검사의 목적은 마스크 상의 결함 검출 및/또는 관련 측정들에 높은 감도 및 정확도를 제공하는 것이다.
본 개시된 주제의 특정 양상들에 따르면, 반도체 시편을 제조하는 데 사용가능한 마스크를 검사하는 컴퓨터화된 시스템이 제공되고, 시스템은: 검사 툴 - 검사 툴은: 결함 후보를 나타내는 하나 이상의 결함 픽셀을 포함하는 원래 결함 이미지, 및 마스크 상의 결함 후보의 위치를 제공하고; 위치에 기초하여, 포커스 프로세스 윈도우 전체에 걸쳐 복수의 포커스 레벨들에서 기준 이미지들의 뱅크 및 결함 후보의 결함 이미지들의 뱅크 - 결함 이미지들의 뱅크는 각각의 포커스 레벨에서 취득된 결함 이미지들의 세트를 포함하고, 기준 이미지들의 뱅크는 각각의 포커스 레벨에서 취득된 기준 이미지들의 세트를 포함함 - 를 취득하도록 구성됨 -; 및 처리 및 메모리 회로(PMC) - 처리 및 메모리 회로는 검사 툴에 작동가능하게 연결되고, 복수의 포커스 레벨들 중에서 최적 포커스를 결정하고, 최적 포커스의 결함 이미지들의 세트에 기초하여 복합 결함 이미지를 생성하고; 하나 이상의 결함 픽셀에 대응하는, 복합 결함 이미지 내의 하나 이상의 타겟 픽셀의 영역을 식별하기 위해 원래 결함 이미지를 복합 결함 이미지와 정렬하고; 각각의 포커스 레벨에 대해, 영역에 기초하여, 포커스 레벨의 기준 이미지들의 세트로부터 도출된 적어도 하나의 기준 이미지와 결함 이미지들의 세트 사이의 변위를 나타내는 측정치를 제공함으로써, 복수의 포커스 레벨들에 대응하는 복수의 측정치들을 생성하도록 구성됨 - 를 포함한다.
상기 특징들 외에도, 본 개시된 주제의 이 양상에 따른 시스템은 아래에 열거된 특징들 (i) 내지 (xvi) 중 하나 이상을, 기술적으로 가능한 임의의 원하는 조합 또는 순열로 포함할 수 있다.
(i). 결함 후보는 마스크 또는 그의 일부 상의 결함 후보 분포를 나타내는 결함 맵으로부터 선택된 결함 후보들의 목록으로부터의 것이다.
(ii). 검사 툴은 인쇄 임계치(PT)를 교정하도록 더 구성된다. 측정치를 제공하는 것은 포커스 레벨의 기준 이미지들의 세트 및 결함 이미지들의 세트에 PT를 적용하여, 이진 결함 이미지들의 세트 및 이진 기준 이미지들의 세트를 생성하는 것, 및 이진 결함 이미지들의 세트 및 이진 기준 이미지들의 세트에 기초하여 측정을 수행하는 것을 포함한다.
(iii). 결함 이미지들의 뱅크 및 기준 이미지들의 뱅크는 검사 툴의 시야(FOV) 내의 최적 위치에 결함 후보를 배치함으로써 취득되며, 최적 위치는 적어도, FOV 왜곡에 의해 유발되는 잡음들을 감소시키도록 선택된다.
(iv). 복수의 포커스 레벨들은 정확도 및 처리량 요건들에 따른 포커스 스텝 크기에 기초하여 미리 정의된다.
(v). 복수의 포커스 레벨들은 포커스 프로세스 윈도우를 확장하는 하나 이상의 포커스 레벨을 더 포함한다.
(vi). 최적 포커스는 각각의 포커스 레벨에서 결함 이미지들의 세트 내의 적어도 하나의 결함 이미지에 대해 포커스 측정을 적용함으로써 결정된다.
(vii). 정렬하는 것은 복합 결함 이미지에 포함된 패턴의 정합가능성을 검증하는 것, 및 검증에 기초하여 복합 결함 이미지 내의 영역을 결정하는 것을 더 포함한다.
(viii). 정합가능성의 검증은 이미지들의 시프트된 세트를 획득하기 위해 각각의 오프셋들을 갖는 방향들의 세트로 패턴을 시프트하는 것, 복합 결함 이미지와 이미지들의 시프트된 세트 사이의 이미지 정합을 수행하는 것 및 이미지 정합의 결과에 기초하여 정합가능성을 결정하는 것을 포함한다.
(ix). PMC는, 기준 이미지들의 뱅크에 대해, 복수의 포커스 레벨들 중에서 최적 포커스를 결정하고, 기준 이미지들의 최적 포커스와 결함 이미지들의 최적 포커스 사이의 시프트에 응답하여, 시프트에 기초하여 결함 이미지들과 기준 이미지들의 대응하는 포커스 레벨들을 연관시키도록 더 구성된다.
(x). 적어도 하나의 기준 이미지는 기준 이미지들의 세트를 조합함으로써 생성된 복합 기준 이미지이다.
(xi). 결함 이미지들의 세트는 하나의 결함 이미지로 구성되고, 복합 결함 이미지는 결함 이미지이다.
(xii). 측정치를 제공하는 것은 결함 이미지들의 세트의 각각의 결함 이미지와 적어도 하나의 기준 이미지 사이의 상기 영역에서 도출된 차이 이미지의 변위를 측정하여, 결함 이미지들의 세트에 대응하는 변위들의 세트를 생성하는 단계, 및 변위들의 세트에 기초하여 측정치를 생성하는 것을 포함한다.
(xiii). 마스크는 다중 다이 마스크이고, 결함 이미지들의 뱅크는 검사 다이에 위치된 결함 후보에 대해 캡처되고, 기준 이미지들의 뱅크는 기준 다이 내의 대응하는 위치로부터 캡처된다.
(xiv). 마스크는 단일 다이 마스크이고, 결함 이미지들의 뱅크 및 기준 이미지들의 뱅크는 유사한 설계 패턴을 공유하는 동일한 다이 내의 상이한 영역들로부터 취득된다.
(xv). 원래 결함 이미지를 제공하는 것, 취득하는 것, 결정하는 것, 정렬하는 것, 및 측정치를 제공하는 것은 마스크 또는 그의 일부 상의 결함 후보 분포를 나타내는 결함 맵으로부터 선택된 결함 후보들의 목록으로부터의 하나 이상의 추가적인 결함 후보에 대해 반복된다.
(xvi). 검사 툴은 반도체 시편의 제조에 사용가능한 리소그래피 툴의 광학 구성을 에뮬레이팅하도록 구성된 화학선 검사 툴이다.
본 개시된 주제의 다른 양상들에 따르면, 반도체 시편을 제조하는 데 사용가능한 마스크를 검사하는 방법이 제공되고, 방법은 처리 및 메모리 회로(PMC)에 의해 수행되고, 결함 후보를 나타내는 하나 이상의 결함 픽셀을 포함하는 원래 결함 이미지, 및 마스크 상의 결함 후보의 위치; 및 포커스 프로세스 윈도우 전체에 걸쳐 복수의 포커스 레벨들에서 위치에 기초하여 취득된 기준 이미지들의 뱅크 및 결함 후보의 결함 이미지들의 뱅크 - 결함 이미지들의 뱅크는 각각의 포커스 레벨에서 취득된 결함 이미지들의 세트를 포함하고, 기준 이미지들의 뱅크는 각각의 포커스 레벨에서 취득된 기준 이미지들의 세트를 포함함 - 를 검사 툴로부터 획득하는 단계; 및 복수의 포커스 레벨들 중에서 최적 포커스를 결정하고, 최적 포커스의 결함 이미지들의 세트에 기초하여 복합 결함 이미지를 생성하는 단계; 하나 이상의 결함 픽셀에 대응하는, 복합 결함 이미지 내의 하나 이상의 타겟 픽셀의 영역을 식별하기 위해 원래 결함 이미지를 복합 결함 이미지와 정렬하는 단계; 및 각각의 포커스 레벨에 대해, 영역에 기초하여, 포커스 레벨의 기준 이미지들의 세트로부터 도출된 적어도 하나의 기준 이미지와 결함 이미지들의 세트 사이의 변위를 나타내는 측정치를 제공함으로써, 복수의 포커스 레벨들에 대응하는 복수의 측정치들을 생성하는 단계를 포함한다.
개시된 주제의 이 양상은 시스템과 관련하여 위에서 열거된 특징들 (i) 내지 (xvi) 중 하나 이상을, 준용하여, 기술적으로 가능한 임의의 원하는 조합 또는 순열로 포함할 수 있다.
본 개시된 주제의 다른 양상들에 따르면, 컴퓨터에 의해 실행될 때, 컴퓨터로 하여금, 반도체 시편을 제조하는 데 사용가능한 마스크를 검사하는 방법을 수행하게 하는 명령어들을 포함하는 비일시적 컴퓨터 판독가능 매체가 제공되고, 방법은: 결함 후보를 나타내는 하나 이상의 결함 픽셀을 포함하는 원래 결함 이미지, 및 마스크 상의 결함 후보의 위치; 및 포커스 프로세스 윈도우 전체에 걸쳐 복수의 포커스 레벨들에서 위치에 기초하여 취득된 기준 이미지들의 뱅크 및 결함 후보의 결함 이미지들의 뱅크 - 결함 이미지들의 뱅크는 각각의 포커스 레벨에서 취득된 결함 이미지들의 세트를 포함하고, 기준 이미지들의 뱅크는 각각의 포커스 레벨에서 취득된 기준 이미지들의 세트를 포함함 - 를 검사 툴로부터 획득하는 단계; 및 복수의 포커스 레벨들 중에서 최적 포커스를 결정하고, 최적 포커스의 결함 이미지들의 세트에 기초하여 복합 결함 이미지를 생성하는 단계; 하나 이상의 결함 픽셀에 대응하는, 복합 결함 이미지 내의 하나 이상의 타겟 픽셀의 영역을 식별하기 위해 원래 결함 이미지를 복합 결함 이미지와 정렬하는 단계; 및 각각의 포커스 레벨에 대해, 영역에 기초하여, 포커스 레벨의 기준 이미지들의 세트로부터 도출된 적어도 하나의 기준 이미지와 결함 이미지들의 세트 사이의 변위를 나타내는 측정치를 제공함으로써, 복수의 포커스 레벨들에 대응하는 복수의 측정치들을 생성하는 단계를 포함한다.
개시된 주제의 이 양상은 시스템과 관련하여 위에서 열거된 특징들 (i) 내지 (xvi) 중 하나 이상을, 준용하여, 기술적으로 가능한 임의의 원하는 조합 또는 순열로 포함할 수 있다.
본 개시내용을 이해하고 본 개시내용이 어떻게 실제로 수행될 수 있는지를 알기 위해, 이제, 첨부 도면들을 참조하여, 단지 비제한적인 예로서, 실시예들이 설명될 것이고, 도면들에서:
도 1은 본 개시된 주제의 특정 실시예들에 따른 마스크 검사 시스템의 기능 블록도를 예시한다.
도 2는 본 개시된 주제의 특정 실시예들에 따른, 반도체 시편을 제조하는 데 사용가능한 마스크에 대한 마스크 검사의 일반화된 흐름도를 예시한다.
도 3은 본 개시된 주제의 특정 실시예들에 따른, 본 마스크 검사 및 EPD 추정 프로세스 전의 예비 프로세스를 예시한다.
도 4는 본 개시된 주제의 특정 실시예들에 따른, 원래 결함 이미지와 복합 결함 이미지 사이의 정렬의 일반화된 흐름도를 예시한다.
도 5는 본 개시된 주제의 특정 실시예들에 따른, 화학선 검사 툴 및 리소그래피 툴의 개략도를 예시한다.
도 6은 본 개시된 주제의 특정 실시예들에 따른, 마스크 상의 주어진 결함 후보에 대한 예시적인 결함 이미지 및 기준 이미지의 개략도이다.
도 7은 본 개시된 주제의 특정 실시예들에 따른, 마스크 상의 주어진 결함 후보에 대해 취득된 기준 이미지들의 뱅크 및 결함 이미지들의 뱅크를 예시한다.
도 8은 본 개시된 주제의 특정 실시예들에 따른, 최적 포커스의 결함 이미지들의 세트를 예시한다.
도 9는 본 개시된 주제의 특정 실시예들에 따른, 예시적인 패턴의 정합가능성의 검증의 개략도이다.
도 10은 본 개시된 주제의 특정 실시예들에 따른, 원래 결함 이미지, 결함 이미지들의 뱅크 내의 결함 이미지, 및 결함 이미지에서 식별된 바와 같은 타겟 영역의 예를 예시한다.
도 11은 본 개시된 주제의 특정 실시예들에 따른, 이진 결함 이미지, 이진 기준 이미지 및 이들의 차이 이미지의 예를 예시한다.
도 12는 본 개시된 주제의 특정 실시예들에 따른, 인쇄 임계치에 기초한 일반화된 리소그래피 및 패턴 전사 프로세스의 개략도이다.
도 13은 본 개시된 주제의 특정 실시예들에 따른, 이진 차이 이미지에 대한 EPD 측정치들의 예를 예시한다.
도 14는 본 개시된 주제의 특정 실시예들에 따른, 결함 이미지들의 뱅크의 최적 포커스(1102)가 기준 이미지들의 뱅크의 최적 포커스(1104)로부터 시프트되는 예시적인 상황을 예시한다.
도 15는 본 개시된 주제의 특정 실시예들에 따른, 복수의 포커스 레벨들에 대응하는 복수의 EPD 측정치들의 예시적인 플롯 표현을 예시한다.
이하의 상세한 설명에서, 본 개시내용의 완전한 이해를 제공하기 위해 다수의 특정한 세부 사항들이 열거된다. 그러나, 관련 기술분야의 통상의 기술자는 본 개시된 주제가 이러한 특정한 세부 사항들 없이 실시될 수 있다는 점을 이해할 것이다. 다른 경우들에서, 잘 알려진 방법들, 절차들, 구성요소들 및 회로들은 본 개시된 주제를 모호하게 하지 않기 위해 상세히 설명되지 않았다.
이하의 논의들로부터 명백한 것으로서, 구체적으로 달리 언급되지 않는 한, 본 명세서 전반에 걸쳐 "검사", "제공", "취득", "결정", "정렬", "교정", "적용", "수행", "배치", "검증", "시프트", "연관", "측정", "반복", "획득", "에뮬레이팅" 등과 같은 용어들을 활용하는 논의들이, 데이터 - 상기 데이터는 물리적으로, 예컨대, 전자, 양들로 표현되고/거나 상기 데이터는 물리적 대상들을 표현함 - 를 다른 데이터로 조작하고/거나 변환하는, 컴퓨터의 작동(들) 및/또는 프로세스(들)를 지칭한다는 것을 이해한다. "컴퓨터"라는 용어는, 비제한적인 예로서, 본 출원에 개시된 마스크 검사 시스템, 검사 툴, EPD 추정 시스템, 및 그의 각각의 부분들을 포함하는, 데이터 처리 능력들을 갖는 임의의 종류의 하드웨어 기반 전자 디바이스를 망라하도록 광범위하게 해석되어야 한다.
본 명세서에서 사용되는 "마스크"라는 용어는 또한, "포토리소그래피 마스크" 또는 "포토마스크" 또는 "레티클"로 지칭된다. 그러한 용어들은 포토리소그래피 프로세스에서 반도체 웨이퍼 상에 패터닝될 템플릿 보유 회로 설계(예를 들어, 집적 회로의 특정 층의 레이아웃을 정의함)를 망라하도록 등가로 그리고 광범위하게 해석되어야 한다. 예로서, 마스크는 리소그래피 프로세스에서 웨이퍼들 상에 투영되는 불투명, 투명, 및 위상-시프팅 영역들의 패턴으로 커버되는 용융 실리카 플레이트로서 구현될 수 있다. 예로서, 마스크는 극자외선(EUV) 마스크 또는 플루오린화아르곤(ArF) 마스크일 수 있다. 다른 예로서, 마스크는 (메모리 디바이스를 제조하는 데 사용가능한) 메모리 마스크 또는 (로직 디바이스를 제조하는 데 사용가능한) 로직 마스크일 수 있다.
본 명세서에서 사용되는 "검사" 또는 "마스크 검사"라는 용어는, 제조된 포토마스크의 정확도 및 무결성을 회로 설계에 관하여 평가하기 위한 임의의 작동, 및 웨이퍼 상에 회로 설계의 정확한 표현을 생성하는 그의 능력을 망라하도록 광범위하게 해석되어야 한다. 검사는 마스크 제조 프로세스 동안 그리고/또는 후에 그리고/또는 반도체 시편 제조를 위한 마스크의 사용 동안 다양한 유형들의 결함 검출, 결함 검토 및/또는 결함 분류, 및/또는 계측 작동들과 관련된 임의의 종류의 작동들을 포함할 수 있다. 검사는 마스크의 제조 후에 비파괴 검사 툴들을 사용하여 제공될 수 있다. 비제한적인 예로서, 검사 프로세스는 검사 툴을 사용하여, 다음의 작동들: 마스크 또는 그의 부분들에 관하여 제공되는 (단일 또는 다수 횟수의 스캔들의) 스캐닝, 이미징, 샘플링, 검출, 측정, 분류 및/또는 다른 작동들 중 하나 이상을 포함할 수 있다. 마찬가지로, 마스크 검사는 또한, 마스크의 실제 검사 전에, 예를 들어, 검사 레시피(들)를 생성하는 것 및/또는 다른 셋업 작동들을 포함하도록 해석될 수 있다. 구체적으로 달리 언급되지 않는 한, 본 명세서에서 사용되는 "검사"라는 용어 또는 그의 파생어들은 검사 영역의 크기 또는 해상도에 관하여 제한되지 않는다는 점을 주목한다. 다양한 비파괴 검사 툴들은, 비제한적인 예로서, 광학 검사 툴들, 주사 전자 현미경들, 원자력 현미경들 등을 포함한다.
본 명세서에서 사용되는 "계측 작동"이라는 용어는 반도체 시편 상의 하나 이상의 구조적 요소, 예컨대, 마스크에 관한 계측 정보를 추출하기 위해 사용되는 임의의 계측 작동 절차를 망라하도록 광범위하게 해석되어야 한다. 일부 실시예들에서, 계측 작동들은, 예를 들어, 다음: 치수들(예를 들어, 라인 폭들, 라인 간격, 접촉부 직경들, 요소의 크기, 에지 거칠기, 그레이 레벨 통계 등), 요소들의 형상들, 요소들 내의 또는 요소들 사이의 거리들, 관련된 각도들, 상이한 설계 레벨들에 대응하는 요소들과 연관된 오버레이 정보 등을 포함하지만 이에 제한되지 않는, 시편 상의 특정 구조적 요소들에 대해 수행되는 임계 치수(CD) 측정들과 같은 측정 작동들을 포함할 수 있다. 측정 결과들, 예컨대, 측정된 이미지들은, 예를 들어, 이미지 처리 기법들을 채용함으로써 분석된다. 구체적으로 달리 언급되지 않는 한, 본 명세서에서 사용되는 "계측"이라는 용어 또는 그의 파생어들은 측정 기술, 측정 해상도 또는 검사 영역의 크기에 관하여 제한되지 않는다는 점을 주목한다.
본 명세서에서 사용되는 "시편"이라는 용어는 반도체 집적 회로들, 자기 헤드들, 평판 디스플레이들, 및 다른 반도체 제조 물품들을 제조하는 데 사용되는 임의의 종류의 웨이퍼들, 관련된 구조들, 이들의 조합들 및/또는 부분들을 망라하도록 광범위하게 해석되어야 한다.
본 명세서에서 사용되는 "결함"이라는 용어는 마스크 상에 형성되는 임의의 종류의 이상 또는 바람직하지 않은 피쳐/기능성을 망라하도록 광범위하게 해석되어야 한다. 일부 경우들에서, 결함은, 웨이퍼 상에 인쇄될 때, 제조된 디바이스의 기능성에 대해 특정 효과들을 갖는 실제 결함이고, 따라서, 검출되는 것이 고객의 관심 대상인 관심 결함(DOI)일 수 있다. 예를 들어, 수율 손실을 야기할 수 있는 임의의 "킬러" 결함들이 DOI로 표시될 수 있다. 일부 다른 경우들에서, 결함은, 완성된 디바이스의 기능성에 대해 영향을 미치지 않기 때문에 무시될 수 있는 방해("오경보" 결함으로 또한 지칭됨)일 수 있다.
본 명세서에서 사용되는 "결함 후보"라는 용어는, 관심 결함(DOI)이 될 비교적 높은 확률을 갖는 것으로 검출되는, 마스크 상의 의심되는 결함 위치를 망라하도록 광범위하게 해석되어야 한다. 그러므로, 결함 후보는, 검토될 때, 실제로는 DOI일 수 있거나, 일부 다른 경우들에서는 검사 동안 상이한 변동들(예를 들어, 프로세스 변동, 색 변동, 기계적 및 전기적 변동들 등)에 의해 야기될 수 있는 방해 또는 무작위 잡음일 수 있다.
본원에서 사용되는 "비일시적 메모리" 및 "비일시적 저장 매체"라는 용어들은 본 개시된 주제에 적합한 임의의 휘발성 또는 비휘발성 컴퓨터 메모리를 망라하도록 광범위하게 해석되어야 한다. 용어들은, 명령어들의 하나 이상의 세트를 저장하는 단일 매체 또는 다수의 매체들(예를 들어, 중앙집중형 또는 분산형 데이터베이스, 및/또는 연관된 캐시들 및 서버들)을 포함하는 것으로 간주되어야 한다. 용어들은 또한, 컴퓨터에 의한 실행을 위해 명령어들의 세트를 저장하거나 인코딩할 수 있고 컴퓨터로 하여금 본 개시내용의 방법론들 중 임의의 하나 이상을 수행하게 하는 임의의 매체를 포함하는 것으로 간주되어야 한다. 이에 따라, 용어들은, 판독 전용 메모리("ROM"), 랜덤 액세스 메모리("RAM"), 자기 디스크 저장 매체, 광학 저장 매체, 플래시 메모리 디바이스들 등을 포함하지만 이에 제한되지 않는 것으로 간주되어야 한다.
구체적으로 달리 언급되지 않는 한, 개별 실시예들의 맥락으로 설명되는 본 개시된 주제의 특정한 특징들이 또한, 조합하여 단일 실시예에 제공될 수 있다는 것이 이해된다. 반대로, 단일 실시예의 맥락으로 설명되는 본 개시된 주제의 다양한 특징들이 또한, 개별적으로 또는 임의의 적합한 하위조합으로 제공될 수 있다. 이하의 상세한 설명에서, 방법들 및 장치의 완전한 이해를 제공하기 위해 다수의 특정한 세부 사항들이 열거된다.
이를 염두에 두고, 본 개시된 주제의 특정 실시예들에 따른 마스크 검사 시스템의 기능 블록도를 예시하는 도 1에 주목한다.
도 1에 예시된 검사 시스템(100)은 마스크를 사용하여, 마스크 제조 프로세스 동안 또는 후에, 그리고/또는 반도체 시편 제조 프로세스 동안 마스크의 검사에 사용될 수 있다. 위에서 설명된 바와 같이, 본원에 언급되는 검사는, 마스크 또는 그의 부분들에 대한, 다양한 유형들의 결함 검사/검출, 결함 분류, 및/또는 계측 작동들, 예컨대, 임계 치수(CD) 측정들에 관련된 임의의 종류의 작동들을 망라하도록 해석될 수 있다. 본 개시된 주제의 특정 실시예들에 따르면, 예시된 검사 시스템(100)은, 마스크 상의 결함들을 자동으로 검사하고 검출할 수 있는 컴퓨터 기반 시스템(101)을 포함한다.
위에서 설명된 바와 같이, 본원의 검출될 결함은 원래 설계와 관련하여 마스크 상에 형성된 임의의 종류의 이상 또는 바람직하지 않은 피쳐/기능성을 지칭할 수 있다. 마스크 상의 검출될 결함들은 다양한 결함들, 예컨대, 예를 들어, 브리지들, 돌출부들, 라인 브레이크들, 임계 치수(CD)에 관련된 결함들, 콘택들의 이상(예컨대, 누락된 콘택들, 병합된 콘택들, 수축된 콘택들 등), 또는 임의의 다른 유형들의 결함들을 포함할 수 있다. 예로서, 일부 경우들에서, 검출될 결함들은 마스크 상의 인쇄된 피쳐들의 하나 이상의 에지/윤곽의 실제 위치와 그의 의도된/예상된 위치 사이의 편차(본원에서 EPD 결함들로 또한 지칭됨)를 나타내는 에지 위치설정 변위(EPD)와 관련될 수 있다. 일부 실시예들에서, 시스템(101)은 검출된 바와 같은 EPD 결함들에 대해 CD 측정들을 수행하고, 그의 EPD 추정들을 제공하도록 구성될 수 있다. 그러한 경우들에서, 시스템(101)은 또한, EPD 추정 시스템으로 지칭되고, 이는 검사 시스템(100)의 하위 시스템이다.
시스템(101)은, 마스크를 스캐닝하고 마스크의 검사를 위해 마스크의 하나 이상의 이미지를 캡처하도록 구성되는 마스크 검사 툴(120)에 작동가능하게 연결될 수 있다. 본원에서 사용되는 "마스크 검사 툴"이라는 용어는, 비제한적인 예로서, 마스크 또는 그의 부분들과 관련하여 제공되는, (단일 또는 다수 횟수의 스캔들의) 스캐닝, 이미징, 샘플링, 검출, 측정, 분류 및/또는 다른 프로세스들을 포함하는 마스크 검사 관련 프로세스들에서 사용될 수 있는 임의의 유형의 검사 툴들을 망라하도록 광범위하게 해석되어야 한다.
어떠한 방식으로든 본 개시내용의 범위를 제한하지 않고, 마스크 검사 툴(120)이 다양한 유형들의 검사 기계들, 예컨대, 광학 검사 툴들, 전자 빔 툴들 등으로서 구현될 수 있다는 점을 또한 주목해야 한다. 일부 경우들에서, 마스크 검사 툴(120)은 비교적 저해상도 검사 툴(예를 들어, 광학 검사 툴, 저해상도 주사 전자 현미경(SEM) 등)일 수 있다. 일부 경우들에서, 마스크 검사 툴(120)은 비교적 고해상도 검사 툴(예를 들어, 고해상도 SEM, 원자력 현미경(AFM), 투과 전자 현미경(TEM) 등)일 수 있다. 일부 경우들에서, 검사 툴은 저해상도 이미지 데이터 및 고해상도 이미지 데이터 양쪽 모두를 제공할 수 있다. 일부 실시예들에서, 마스크 검사 툴(120)은 계측 능력들을 갖고, 캡처된 이미지들에 대해 계측 작동들을 수행하도록 구성될 수 있다. 결과적인 이미지 데이터(저해상도 이미지 데이터 및/또는 고해상도 이미지 데이터)는 - 직접 또는 하나 이상의 중간 시스템을 통해 - 시스템(101)에 송신될 수 있다. 본 개시내용은 임의의 특정 유형의 마스크 검사 툴들 및/또는 검사 툴들로부터 초래되는 이미지 데이터의 해상도로 제한되지 않는다.
특정 실시예들에 따르면, 마스크 검사 툴은, 도 5와 관련하여 아래에서 더 상세히 설명되는 바와 같이, 예를 들어, 마스크에 형성된 패턴을 웨이퍼 상에 투영함으로써, 반도체 시편의 제조에 사용가능한 리소그래피 툴(예컨대, 예를 들어, 스캐너 또는 스텝퍼)의 광학 구성들을 에뮬레이팅/모방하도록 구성되는 화학선 검사 툴로서 구현될 수 있다.
이제 도 5를 참조하면, 본 개시된 주제의 특정 실시예들에 따른, 화학선 검사 툴 및 리소그래피 툴의 개략도가 도시된다.
리소그래피 툴(520)과 유사하게, 화학선 검사 툴(500)은 노출 파장의 광(예를 들어, 레이저)을 생성하도록 구성되는 조명원(502), 조명 광학계(504), 마스크 홀더(506), 및 투영 광학계(508)를 포함할 수 있다. 조명 광학계(504) 및 투영 광학계(508)는 하나 이상의 광학 요소(예컨대, 렌즈, 애퍼쳐, 공간 필터 등)를 포함할 수 있다.
리소그래피 툴(520)에서, 마스크가 마스크 홀더(506)에 위치되고, 복제될 회로 패턴의 이미지를 웨이퍼 홀더(512) 상에 배치된 웨이퍼 상에 (예를 들어, 웨이퍼 상에 패턴을 생성하거나 복제하기 위해 다양한 스텝핑, 스캐닝 및/또는 이미징 기법들을 채용함으로써) 투영하도록 광학적으로 정렬된다. 리소그래피 툴(520)과 달리, 웨이퍼 홀더(512)를 배치하는 대신에, 화학선 검사 툴(500)은 검출기(510)(예컨대, 전하 결합 디바이스(CCD))를 웨이퍼 홀더의 위치에 배치하고, 여기서 검출기(510)는, 마스크를 통해 투영되고 마스크의 이미지를 생성하는 광을 검출하도록 구성된다.
볼 수 있는 바와 같이, 화학선 검사 툴(500)은, 반도체 디바이스 제조 동안 포토레지스트를 노출시키기 위해 실제 리소그래피 프로세스에서 사용되는, 예를 들어, 조명/노출 조건들, 예컨대, 파장, 노출 광의 부분 가간섭성(partial coherence), 동공 형상, 조명 애퍼쳐, 개구수(NA) 등을 포함하지만 이에 제한되지 않는, 리소그래피 툴(520)의 광학 구성들을 에뮬레이팅하도록 구성된다. 그러므로, 검출기(510)에 의해 취득된 마스크 이미지(514)는 리소그래피 툴을 통해 마스크를 사용하여 제조될 웨이퍼의 이미지(516)와 비슷할 것으로 예상된다. 그러한 화학선 검사 툴을 사용하여 취득된 마스크 이미지는 또한, 에어리얼 이미지로 지칭된다. 에어리얼 이미지는, 아래에 설명되는 바와 같이, 추가의 처리를 위해 시스템(101)에 제공된다.
특정 실시예들에 따르면, 일부 경우들에서, 마스크 검사 툴(120)은 비-화학선 검사 툴, 예컨대, 예를 들어, 정규 광학 검사 툴, 전자 빔 툴(예를 들어, SEM) 등으로서 구현될 수 있다. 그러한 경우들에서, 검사 툴의 검출기는 사용되는 특정 유형의 현미경과 인터페이싱할 수 있고 현미경으로부터의 이미지 정보를 디지털화할 수 있으며, 이에 의해, 마스크의 이미지를 취득할 수 있다.
리소그래피 툴의 광학 구성을 모의하기 위해, 취득된 이미지에 대해 모의가 수행될 수 있고, 이로써, 에어리얼 이미지를 생성한다. 일부 경우들에서, 이미지 모의는 시스템(101)에 의해 수행될 수 있는(예를 들어, 모의의 기능성은 이미지 모의 모델을 시스템의 PMC(102)에 포함시킴으로써 PMC(102)에 통합될 수 있음) 반면, 일부 다른 경우들에서, 이미지 모의는 마스크 검사 툴(120)의 처리 모듈에 의해, 또는 마스크 검사 툴(120) 및 시스템(101)에 작동가능하게 연결된 별개의 모의 엔진/유닛에 의해 수행될 수 있다.
단지 예시의 목적을 위해, 다음의 설명의 특정 실시예들은 화학선 마스크 검사 툴에 의해 취득된 이미지들에 대해 제공된다. 관련 기술분야의 통상의 기술자들은, 본 개시된 주제의 교시들이, 마찬가지로, 임의의 다른 적합한 기법 및 검사 툴에 의해 취득된 이미지들에 적용가능하고, 적절한 모의 모델을 사용하여 에어리얼 이미지들로 더 변환된다는 것을 쉽게 이해할 것이다. "에어리얼 이미지"라는 용어는 화학선 마스크 검사 툴에 의해 취득된 이미지들 및 비-화학선 검사 툴(들)에 의해 캡처된 이미지들로부터 모의된 에어리얼 이미지들을 망라하도록 광범위하게 해석되어야 한다.
시스템(101)은 하드웨어 기반 I/O 인터페이스(126)에 작동가능하게 연결된 프로세서 및 메모리 회로(PMC)(102)를 포함한다. PMC(102)는 도 2, 3 및 4를 참조하여 더 상세히 설명되는 바와 같이 시스템을 작동시키기 위해 필요한 처리를 제공하도록 구성되고, 프로세서(개별적으로 도시되지 않음) 및 메모리(개별적으로 도시되지 않음)를 포함한다. PMC(102)의 프로세서는 PMC에 포함된 비일시적 컴퓨터 판독가능 메모리 상에 구현된 컴퓨터 판독가능 명령어들에 따라 몇몇 기능 모듈들을 실행하도록 구성될 수 있다. 그러한 기능 모듈들은 이하에서 PMC에 포함되는 것으로 언급된다.
본원에 언급되는 프로세서는 하나 이상의 범용 처리 디바이스, 예컨대, 마이크로프로세서, 중앙 처리 유닛 등을 나타낼 수 있다. 더 구체적으로, 프로세서는 복합 명령어 세트 컴퓨팅(CISC) 마이크로프로세서, 축소 명령어 세트 컴퓨팅(RISC) 마이크로프로세서, 매우 긴 명령어(VLIW) 마이크로프로세서, 다른 명령어 세트들을 구현하는 프로세서, 또는 명령어 세트들의 조합을 구현하는 프로세서들일 수 있다. 프로세서는 또한, 하나 이상의 특수 목적 처리 디바이스, 예컨대, 주문형 집적 회로(ASIC), 필드 프로그래밍가능 게이트 어레이(FPGA), 디지털 신호 프로세서(DSP), 네트워크 프로세서 등일 수 있다. 프로세서는 본원에 논의된 작동들 및 단계들을 수행하기 위한 명령어들을 실행하도록 구성된다.
본원에 언급되는 메모리는 주 메모리(예를 들어, 판독 전용 메모리(ROM), 플래시 메모리, 동적 랜덤 액세스 메모리(DRAM), 예컨대, 동기식 DRAM(SDRAM) 또는 램버스 DRAM(RDRAM) 등), 및 정적 메모리(예를 들어, 플래시 메모리, 정적 랜덤 액세스 메모리(SRAM) 등)를 포함할 수 있다.
전술된 바와 같이, 일부 실시예들에서, 시스템(101)은 마스크 상의 결함들, 예컨대, 예를 들어, EPD 결함들을 검출하도록 구성될 수 있다. EPD 결함들은 다양한 인자들, 예컨대, 마스크의 제조 프로세스 동안의 물리적 효과(들), 및/또는 다른 인자들, 예컨대, 예를 들어, 산화(마스크의 사용 동안 점진적으로 발생할 수 있음), 입자들, 스크래치들, 결정 성장, 정전기 방전(ESD) 등에 의해 야기될 수 있다. 그러한 결함들은, 웨이퍼들의 대량 생산 전에 검출되지 않으면, 생산 웨이퍼들 상에서 다수 회 반복될 것이고, 따라서 아마도, 다수의 반도체 디바이스들이 결함있게 하고(예를 들어, 디바이스들의 기능성에 영향을 미치고 원하는 성능을 충족시키지 못하고), 특히, 포토마스크들의 진보된 프로세스들에서의 반도체 디바이스들의 감소하는 크기 및 대규모의 회로 집적의 관점에서 수율에 악영향을 미친다.
마스크 검사 툴에 의해 검출된 바와 같은 EPD 결함들(또는 결함 후보들)에 대해 CD 측정들을 수행하기 위해, 종종, 마스크는 결함 후보들의 위치들에서 마스크의 추가적인 이미지들을 캡처하고 추가적인 이미지들에 대해 측정들을 수행하는 데 사용되는 개별 계측 툴로 이동될 필요가 있다. 2개의 툴들(검사 툴 및 계측 툴)의 사용 및 그들 사이의 전환은 시간 소모적이고(따라서 검사 처리량에 영향을 미침) 비용 비효율적이다. 추가적으로, 2개의 툴들이 종종, 상이한 좌표계들을 갖기 때문에, 툴들이 또한, 주어진 좌표들에 대한 내비게이션 에러들과 연관될 수 있다는 것은 물론이고, 계측 툴에 의해 캡처된 추가적인 이미지들이, 검사 툴에 의해 보고된 바와 같은 정확한 위치들로부터인 것임을 보장하기가 어렵다. 그러므로, 일부 경우들에서, 계측 툴이, 잘못된 위치들에서 이를 인지하지 않고 추가적인 이미지들을 취득하는 것이 발생할 수 있고, 그러한 위치들에서 획득된 측정치들은 무효/무의미할 것이고, 일부 경우들에서는 심지어 사용자에게 잘못된 정보를 제공한다.
게다가, 위에서 설명된 바와 같은 리소그래피 툴은 높은 수율들로 집적 회로들을 제조할 목적으로 (다양한 리소그래피 파라미터들에 관하여 한정될 수 있는) 프로세스 윈도우 내의 반도체 시편 상에 마스크의 회로 패턴들을 인쇄하는 것을 목적으로 한다. 예를 들어, 수율에 대한 주요 영향들 중 하나는, 원하는 수율들을 충족시키기 위해, 반도체 시편들이 인쇄되어야 하는 리소그래피 툴의 포커스 범위를 지칭하는 포커스 프로세스 윈도우(디포커스 프로세스 윈도우로 또한 지칭됨)에 관련된다. 예를 들어, 프로세스 윈도우 내의 상이한 파라미터들과 연관된 EPD들과 같은 인쇄 결함들/에러들을 추정함으로써, 마스크 상의 회로 패턴이, 프로세스 윈도우 내의 다양한 리소그래피 파라미터들, 예컨대, 예를 들어, 포커스 프로세스 윈도우 내의 상이한 포커스 레벨들의 변화들에 어떻게 응답할 수 있는지를 확인하는 것이 바람직하다. 그러한 추정은 상이한 파라미터들이 프로세스 윈도우 전체에 걸쳐 칩 수율에 어떻게 영향을 미칠 수 있는지에 대한 표시를 사용자에게 제공할 수 있다.
본 개시된 주제의 특정 실시예들에 따르면, 검출된 바와 같은 EPD 결함들에 대해 CD 측정들을 수행하고, 더 높은 정확도 및 개선된 처리량으로 프로세스 윈도우 전체에 걸쳐 일련의 EPD 추정들을 제공하도록 구성된 개선된 마스크 검사 시스템 및 방법이 제안된다.
특정 실시예들에 따르면, 마스크 검사 시스템(100)은 마스크 검사 툴(120), 및 검사 툴에 작동가능하게 연결되고 위에서 설명된 바와 같이 EPD 추정을 위해 구성된 하위 시스템(101)을 포함한다. 마스크 검사 툴(120)은 결함 후보를 나타내는 하나 이상의 결함 픽셀을 포함하는 원래 결함 이미지, 및 마스크 상의 결함 후보의 위치를 제공하도록 구성될 수 있다. 마스크 검사 툴(120)은, 위치에 기초하여, 포커스 프로세스 윈도우 전체에 걸쳐 복수의 포커스 레벨들에서 기준 이미지들의 뱅크 및 결함 후보의 결함 이미지들의 뱅크를 취득하도록 더 구성될 수 있다. 결함 이미지들의 뱅크는 각각의 포커스 레벨에서 취득된 결함 이미지들의 세트를 포함하고, 기준 이미지들의 뱅크는 각각의 포커스 레벨에서 취득된 기준 이미지들의 세트를 포함한다.
시스템(101)의 PMC(102)에 포함된 기능 모듈들은 이미지 처리 모듈(104), 정렬(106) 및 측정 모듈(108)을 포함할 수 있다. 이미지 처리 모듈(104)은 복수의 포커스 레벨들 중에서 최적 포커스를 결정하고, 최적 포커스에서의 결함 이미지들의 세트에 기초하여 복합 결함 이미지를 생성하도록 구성될 수 있다. 정렬(106)은 하나 이상의 결함 픽셀에 대응하는 복합 결함 이미지 내의 하나 이상의 타겟 픽셀의 영역을 식별하기 위해 원래 결함 이미지를 복합 결함 이미지와 정렬하도록 구성될 수 있다. 측정 모듈(108)은, 각각의 포커스 레벨에 대해, 영역에 기초하여, 포커스 레벨의 기준 이미지들의 세트로부터 도출된 적어도 하나의 기준 이미지와 결함 이미지들의 세트 사이의 변위를 나타내는 측정치(예로서, EPD 측정치)를 제공함으로써, 복수의 포커스 레벨들에 대응하는 복수의 측정치들을 생성하도록 구성될 수 있다.
시스템들(100, 101), PMC(102) 및 그의 기능 모듈들의 작동들은 도 2, 3 및 4를 참조하여 더 상세히 설명될 것이다.
특정 실시예들에 따르면, 시스템(100)은 저장 유닛(122)을 포함할 수 있다. 저장 유닛(122)은 시스템들(100 및 101)을 작동시키는 데 필요한 임의의 데이터, 예를 들어, 시스템들(100 및 101)의 입력 및 출력에 관련된 데이터뿐만 아니라, 시스템(101)에 의해 생성된 중간 처리 결과들을 저장하도록 구성될 수 있다. 예로서, 저장 유닛(122)은 원래 결함 이미지, 마스크 검사 툴(120)에 의해 생성된 기준 이미지들 및 결함 이미지들의 뱅크들 및/또는 그의 파생물들(예를 들어, 전처리 후의 이미지들)을 저장하도록 구성될 수 있다. 이에 따라, 이미지들은 저장 유닛(122)으로부터 검색되고 추가의 처리를 위해 PMC(102)에 제공될 수 있다.
일부 실시예들에서, 시스템(100)은 시스템(101)과 관련된 사용자 특정 입력들을 가능하게 하도록 구성된 컴퓨터 기반 그래픽 사용자 인터페이스(GUI)(124)를 선택적으로 포함할 수 있다. 예를 들어, 사용자는 마스크의 시각적 표현, 예컨대, 마스크 또는 그의 부분들의 이미지들을 (예를 들어, GUI(124)의 일부를 형성하는 디스플레이에 의해) 제시받을 수 있다. 사용자는 GUI를 통해, 특정 작동 파라미터들, 예컨대, 예를 들어, 프로세스 윈도우 파라미터들, 예컨대, 예를 들어, 프로세스 윈도우의 범위, 포커스 스텝 크기 등, 주어진 포커스 레벨에서 취득될 결함 이미지들 및 기준 이미지들의 개수, 인쇄 임계치 등을 정의하는 옵션들을 제공받을 수 있다. 일부 경우들에서, 사용자는 또한, 작동 결과들, 예컨대, 취득된 바와 같은 이미지들의 뱅크들, 상이한 포커스 레벨들에 대응하는 복수의 측정치들, 및/또는 GUI 상의 추가의 검사 결과들을 볼 수 있다.
위에서 설명된 바와 같이, 시스템(101)은 I/O 인터페이스(126)를 통해, 포커스 프로세스 윈도우 전체에 걸쳐 복수의 포커스 레벨들에서 기준 이미지들의 뱅크 및 결함 후보의 결함 이미지들의 뱅크를 수신하도록 구성된다. 이러한 이미지들은 마스크 검사 툴(120)에 의해 캡처된 이미지들(및/또는 그의 파생물들) 및/또는 다양한 전처리 스테이지들에 의해 획득된 바와 같은 캡처된 이미지들로부터 도출된 전처리된 이미지들 등을 포함할 수 있다. 일부 경우들에서, 이미지들은 연관된 수치 데이터(예를 들어, 메타데이터, 수작업 속성들 등)를 포함할 수 있다는 점을 주목한다. 일부 실시예들에서 이미지 데이터는 웨이퍼 상에 인쇄될 반도체 디바이스의 타겟 층에 관한 것이라는 점을 더 주목한다.
시스템(101)은 수신된 이미지들을 처리하고, I/O 인터페이스(126)를 통해, 작동 결과들(예를 들어, 상이한 포커스 레벨들에 대응하는 복수의 측정치들 등)을 저장 유닛(122)에, 및/또는 렌더링을 위해 GUI(124)에, 및/또는 마스크 검사 툴(120)에 전송하도록 더 구성된다.
일부 실시예들에서, 시스템(101)에 추가적으로, 마스크 검사 시스템(100)은 하나 이상의 검사 모듈, 예컨대, 예를 들어, 마스크의 추가적인 검사를 수행하는 데 사용가능한, 추가적인 결함 검출 모듈(들) 및/또는 자동 결함 검토 모듈(ADR) 및/또는 자동 결함 분류 모듈(ADC) 및/또는 계측 관련 모듈 및/또는 다른 검사 모듈들을 더 포함할 수 있다. 하나 이상의 검사 모듈은 독립형 컴퓨터들로서 구현될 수 있거나, 그들의 기능성들(또는 그의 적어도 일부)이 마스크 검사 툴(120)과 통합될 수 있다. 일부 실시예들에서, 시스템(101)으로부터 획득된 바와 같은 출력은 마스크의 추가의 검사를 위해 마스크 검사 툴(120) 및/또는 하나 이상의 검사 모듈(또는 그의 일부)에 의해 사용될 수 있다.
관련 기술분야의 통상의 기술자는, 본 개시된 주제의 교시들이, 도 1에 예시된 시스템에 의해 제한되지 않고; 동등하고/거나 수정된 기능이, 다른 방식으로 통합되거나 분할될 수 있으며 하드웨어 및/또는 펌웨어와 소프트웨어의 임의의 적절한 조합으로 구현될 수 있다는 것을 쉽게 이해할 것이다.
일부 경우들에서, 도 1에 예시된 마스크 검사 시스템은 분산 컴퓨팅 환경으로 구현될 수 있다는 점을 주목한다. 예로서, 마스크 검사 툴(120) 및 하위 시스템(101)은 상이한 디바이스들(로컬 및/또는 원격 디바이스들)에 걸쳐 분산될 수 있고, 통신 네트워크를 통해 링크될 수 있다. 예를 들어, 마스크 검사 툴(120)은 제조 설비 내에 위치될 수 있는 한편, 하위 시스템(101)은 검사 툴에 원격으로 연결된 처리 서버일 수 있다. 추가적으로 또는 대안적으로, PMC(102)에 포함되는 바와 같은 전술된 기능 모듈들은 또한, 여러 로컬 및/또는 원격 디바이스들에 걸쳐 분산될 수 있고 통신 네트워크를 통해 링크될 수 있다. 다른 실시예들에서, 마스크 검사 툴(120), 저장 유닛(122) 및/또는 GUI(124) 중 하나 이상은 시스템(100) 외부에 있을 수 있고 I/O 인터페이스(126)를 통해 시스템(101)과 데이터 통신하여 작동할 수 있다는 점을 더 주목한다. 시스템(101)은 마스크 검사 툴과 함께 사용될 독립형 컴퓨터(들)로서 구현될 수 있다. 대안적으로, 시스템(101)의 각각의 기능들은, 적어도 부분적으로, 마스크 검사 툴(120)과 통합될 수 있고, 이로써, 검사 관련 프로세스들에서 마스크 검사 툴(120)의 기능성들을 용이하게 하고 향상시킨다.
반드시 그렇지는 않지만, 시스템들(101 및 100)의 작동의 프로세스는 도 2-4와 관련하여 설명된 방법들의 스테이지들 중 일부 또는 전부에 대응할 수 있다. 마찬가지로, 도 2-4와 관련하여 설명된 방법들 및 그들의 가능한 구현들은 시스템들(101 및 100)에 의해 구현될 수 있다. 그러므로, 도 2-4와 관련하여 설명된 방법들과 관련하여 논의된 실시예들은 또한, 시스템들(101 및 100)의 다양한 실시예들로서 준용하여 구현될 수 있고, 그 반대의 경우도 마찬가지라는 점을 주목한다.
이제 도 2를 참조하면, 본 개시된 주제의 특정 실시예들에 따른, 반도체 시편을 제조하는 데 사용가능한 마스크에 대한 마스크 검사의 일반화된 흐름도가 예시된다.
결함 후보를 나타내는 하나 이상의 결함 픽셀을 포함하는 원래 결함 이미지가 (예를 들어, 마스크 검사 툴(120)에 의해) 제공될 수 있다(202). 마스크 상의(예를 들어, 마스크 좌표들에서의) 결함 후보의 위치가 또한 획득된다. 특정 실시예들에 따르면, 결함 후보는 마스크(또는 마스크의 적어도 일부) 상의 결함 후보 분포를 나타내는 결함 맵으로부터 선택된 결함 후보들의 목록로부터의 것이다.
일부 실시예들에 따르면, 결함 맵은 (예를 들어, 마스크 검사 툴(120)에 의한) 마스크의 예비 검사로부터 초래될 수 있다. 도 3은 본 개시된 주제의 특정 실시예들에 따른, 본 마스크 검사 및 EPD 추정 프로세스 전의 예비 프로세스를 예시한다.
결함 후보들의 목록은, 아래에 더 상세히 예시되는 바와 같이, 마스크의 예비 검사로부터 초래된 결함 맵으로부터 (예를 들어, 마스크 검사 툴 또는 별개의 결함 검출 모듈에 의해) 선택될 수 있다(302).
예로서, 마스크의 스캐닝 동안 마스크의 복수의 검사 이미지들이 순차적으로 획득될 수 있고, 각각의 검사 이미지는 마스크의 각각의 부분을 나타낸다. 복수의 결함 맵들은 복수의 검사 이미지들에 대응하여 (예를 들어, 마스크 검사 툴의 결함 검출 모듈에 의해) 생성될 수 있다. 각각의 결함 맵은 적어도 하나의 기준 이미지를 사용하여 생성될 수 있고, 각각의 검사 이미지 상의 결함 후보 분포를 나타낼 수 있다. 예를 들어, 검사 이미지의 픽셀 값들과 적어도 하나의 기준 이미지의 픽셀 값들 사이의 차이에 기초하여 적어도 하나의 차이 이미지가 생성될 수 있다. 결함 맵은 검출 임계치를 사용하여 적어도 하나의 차이 이미지에 기초하여 의심되는 결함들(즉, 결함 후보들)의 위치들을 결정함으로써 생성될 수 있다. 일부 경우들에서, 복수의 결함 맵들은 마스크에 대한 결함 맵을 획득하기 위해 조합될 수 있다.
본원에서 사용되는 결함 맵이라는 용어는 마스크의 일부에 대응하는 결함 맵 또는 전체 마스크에 대한 결함 맵을 지칭하는 것으로 해석될 수 있다. 일부 실시예들에서, 생성된 바와 같은 결함 맵은 결함 후보들의 하나 이상의 결함 특징, 예컨대, 예를 들어, 결함 후보들의 위치들, (결함 신호들의) 강도 및 크기 등을 더 나타낼 수 있다. 결함 맵에 의해 드러난 바와 같은 결함 후보들은 그들의 위치들에 기초하여, 대응하는 검사 이미지에 위치될 수 있다.
일부 실시예들에서, 결함 후보들의 목록은 결함 후보들의 강도에 기초하여 결함 맵으로부터 선택될 수 있다. 일부 다른 경우들에서, 개별 결함 후보들에 대한 예비 EPD 측정치가 추정될 수 있고, 결함 후보들의 목록은 맵 내의 결함 후보들의 EPD 측정치들의 순위 및 미리 정의된 EPD 임계치에 기초하여 선택될 수 있다. 예로서, 결함 맵 내의 결함 후보들은 그들의 예비 EPD 측정치들에 따라 오름차순으로 순위화될 수 있고, 미리 정의된 EPD 임계치보다 더 큰 EPD 측정치들을 갖는 N개(N은 미리 결정된 수일 수 있음)의 결함 후보들이 결함 후보들의 목록을 형성하도록 선택될 수 있다.
선택된 목록 내의 각각의 주어진 결함 후보에 대해, 주어진 결함 후보의 위치에서 검사 이미지로부터 이미지 패치가 추출될 수 있다. 이미지 패치는 주어진 결함 후보를 나타내는 하나 이상의 결함 픽셀을 포함하며, 이하에서 원래 결함 이미지로 지칭된다(원래라는 용어는 블록(204)과 관련하여 아래에서 설명되는 바와 같이, 나중에 취득되는 결함 이미지들과 관련하여 사용된다).
마스크 상의(예를 들어, 마스크 좌표들에서의) 주어진 결함 후보의 위치뿐만 아니라 원래 결함 이미지가 추가의 처리를 위해 (예를 들어, 저장 유닛(122)에) 저장될 수 있다. 예비 프로세스의 일부로서, 아래에 더 상세히 설명될 바와 같이, 나중에 취득되는 이미지들에 적용되기 전에 인쇄 임계치가 교정될 수 있다(304).
새로운 이미지들을 캡처하고 새로운 이미지들에 대해 EPD 측정들을 수행하기 위해 결함 후보의 위치를 별개의 계측 툴로 전송하는 대신에, 본 개시된 주제의 특정 실시예들은 결함 후보의 위치에 기초하여 새로운 이미지들을 직접 캡처하기 위해 동일한 마스크 검사 툴을 사용하는 것을 제안한다. 구체적으로, 마스크 검사 툴은, 결함 후보의 위치에 기초하여, 포커스 프로세스 윈도우 전체에 걸쳐 복수의 포커스 레벨들에서 기준 이미지들의 뱅크 및 결함 후보의 결함 이미지들의 뱅크를 취득(204)하는 데 사용된다. 결함 이미지들의 뱅크는 각각의 포커스 레벨에서 취득된 결함 이미지들의 세트를 포함하고, 기준 이미지들의 뱅크는 각각의 포커스 레벨에서 취득된 기준 이미지들의 세트를 포함한다. 결함 이미지들 및 기준 이미지들은 위에서 설명된 바와 같은 에어리얼 이미지들이다.
원래 결함 이미지 및 이미지들의 새로운 뱅크들 양쪽 모두를 캡처하기 위해 2개의 개별 툴들 대신에 하나의 툴을 사용하는 것은 상이한 툴들의 좌표계들의 차이들을 회피할 수 있고, 툴들과 연관된 내비게이션 에러들을 최소화할 수 있으며, 이에 의해, 나중에 획득된 EPD 측정치들의 정확도를 개선한다. 추가적으로, 검사 비용을 상당히 감소시키고 처리량을 개선할 수 있다.
각각의 결함 이미지에 대해, 하나 이상의 기준 이미지가 취득되고 (예컨대, 예를 들어, D2D 검사에서) 비교를 위한 기준들로서 사용될 수 있다. 예로서, 검사될 마스크가 다중 다이 마스크(그의 마스크 필드는 동일한/유사한 설계 패턴들을 갖는 다수의 다이들을 포함함)이고 결함 후보가 마스크 상의 검사 다이에 위치되는 경우들에서, (결함 후보에 대응하는 위치에서) 마스크 상의 검사 다이의 하나 이상의 기준 다이(예를 들어, 검사 다이의 이웃 다이들)로부터 하나 이상의 기준 이미지가 취득될 수 있다.
다른 예로서, 마스크가 단일 다이 마스크(그의 마스크 필드는 하나의 다이만을 포함함)인 경우들에서, 결함 이미지 및 하나 이상의 기준 이미지는 마스크의 동일한 다이의 상이한 영역들로부터 취득되고, 상이한 영역들은 동일한/유사한 설계 패턴을 공유한다. 예를 들어, 유사한 설계 패턴을 공유하는 영역들은, 아래에 더 상세히 설명될 바와 같이, 임의의 적합한 알고리즘들을 사용하여 마스크의 설계 데이터에 기초하여 식별될 수 있다. 결함 이미지들의 뱅크 및 기준 이미지들의 뱅크의 취득은 도 6 및 7과 관련하여 아래에서 설명된다.
도 6을 참조하면, 본 개시된 주제의 특정 실시예들에 따른, 마스크 상의 주어진 결함 후보에 대한 예시적인 결함 이미지 및 기준 이미지의 개략도가 있다.
도시된 바와 같이, 다중 다이 마스크(600)는 동일한 설계 패턴을 공유하는 9개의 다이들을 포함하는 마스크 필드를 갖는다. 예비 프로세스에서 검출되는 바와 같은 다수의 결함 후보들이 마스크 상에 예시된다(별들로 표시된다). 마스크(600)의 검사 다이의 주어진 결함 후보(602)에 대해, 결함 후보(602)를 둘러싸는 결함 이미지(604)가 마스크 검사 툴에 의해 취득될 수 있다. 유사하게, 결함 이미지(604)에 대한 기준 이미지(606)는 검사 다이의 기준 다이(예를 들어, 이웃 다이)의 대응하는 위치에서 취득될 수 있다.
마스크 검사 툴은 한번에 마스크의 일부/부분(툴의 시야(FOV)로 또한 지칭됨)만을 이미지화한다. FOV의 크기 및 치수는 특정 인자들, 예컨대, 상이한 툴 구성들에 따라 달라질 수 있다. 일 예에서, 직사각형 FOV에 대응하는 검사 이미지는 길이가 약 1000 픽셀이고 폭이 1000 픽셀일 수 있다. 다른 예에서, 직사각형 FOV에 대응하는 검사 이미지는 대략 800 픽셀 x 1600 픽셀의 크기일 수 있다. 검사 이미지(608)가 도 6에 예시된다. 일부 경우들에서, 결함 후보는 결함 후보를 포함하는 검사 이미지를 캡처할 때 FOV의 중심에 배치될 수 있다. 그 다음, 결함 이미지가 검사 이미지의 중심으로부터 추출될 수 있다.
일부 실시예들에서, 결함 후보는 결함 후보를 포함하는 검사 이미지를 캡처할 때 FOV의 최적 위치에 배치될 수 있다. 검사 이미지(608)에서 예시된 바와 같이, 결함 후보(602)는 FOV의 중심에 근접하여 최적 위치에 배치된다. 일부 경우들에서, 최적 위치는, 예를 들어, FOV 왜곡에 의해 야기된 잡음들을 포함하지만 이에 제한되지 않는 다양한 잡음들을 감소시키기 위해 선택될 수 있다. 본원에서 사용되는 FOV 왜곡이라는 용어는 이미지의 FOV 내의 상이한 위치들에서의 이미지 강도 변동들 및 불균일성을 지칭한다. 이는, 예를 들어, 비점수차, 필드에서의 불균등한 조명, 렌즈 형상으로 인한 왜곡들, 스펙클들 등을 포함하지만 이에 제한되지 않는 특정 광학 시스템 수차들에 의해 야기될 수 있다. 예로서, 최적 위치는 FOV에서의 잠재적으로 문제가 있는 위치들에 대한 정보를 제공하는 하나 이상의 맵을 중첩시킴으로써 계산될 수 있다(문제가 있는 위치들은 FOV에서의 수차 픽셀들을 나타낼 수 있다). 이러한 맵들은 특정 툴 세트에 대해 수행된 이전의 교정 프로세스들로부터 초래될 수 있다. 교정 프로세스들은 이러한 툴들의 하드웨어 거동의 통계적 및 이론적 지식에 기초한다. 전체 프로세스는 잠재적으로 문제가 있는 센서 영역들과의 상호작용을 최소화하고, 툴의 FOV를 최적 위치에 중심에 오도록 내비게이팅하고, 이로써, 관심 영역이 최적 센서 영역들을 활용한다.
결함 이미지는 검사 이미지로부터 미리 결정된 크기(예를 들어, 32*32 픽셀, 64*64 픽셀, 100*100 픽셀 등)로 추출될 수 있다. 결함 이미지는 결함 후보를 나타내는 하나 이상의 결함 픽셀을 포함한다. 하나 이상의 결함 픽셀은 본원에서 결함 블롭으로 또한 지칭되며, 이는, 예를 들어, 4*4 픽셀 또는 2*2 픽셀 등의 크기일 수 있다.
이에 따라, 일부 실시예들에서, 결함 이미지들의 뱅크는 각각, 위에서 설명된 바와 같이, 검사 툴의 FOV(예를 들어, 툴의 이미지 센서의 FOV)의 최적 위치에 결함 후보를 배치함으로써 취득될 수 있고, 기준 이미지들의 뱅크는 기준 다이의 대응하는 위치들에서 취득된다.
이제 도 7을 참조하면, 본 개시된 주제의 특정 실시예들에 따른, 마스크 상의 주어진 결함 후보에 대해 취득된 기준 이미지들의 뱅크 및 결함 이미지들의 뱅크가 예시된다.
도 6의 예를 계속하면, 결함 후보(602)에 대해, 결함 이미지들의 뱅크(702) 및 기준 이미지들의 뱅크(704)가 포커스 프로세스 윈도(700) 전체에 걸쳐 복수의 포커스 레벨들에서 취득된다. 결함 이미지들의 뱅크(702)는 각각의 포커스 레벨에서 취득된 결함 이미지들의 세트(예를 들어, 도 7에 예시된 바와 같은 4개의 결함 이미지들)를 포함한다. 유사하게, 기준 이미지들의 뱅크(704)는 각각의 포커스 레벨에서 취득된 기준 이미지들의 세트(예를 들어, 4개의 결함 이미지들에 대응하는 4개의 기준 이미지들)를 포함한다.
전술된 바와 같은 포커스 프로세스 윈도우는 원하는 수율들을 충족시키기 위해 반도체 시편들이 인쇄되어야 하는 리소그래피 툴의 포커스 범위를 지칭한다. 일부 경우들에서, 프로세스 윈도우의 범위는 반도체 시편들의 제조자에 의해 미리 정의될 수 있다. 도 7에 예시된 바와 같이, 포커스 프로세스 윈도우(700)는 포커스 스텝 크기(706)에 기초하여 미리 정의될 수 있는 복수의 포커스 레벨들을 포함한다. 포커스 스텝 크기는, 예를 들어, 제조자 프로세스의 정확도 및 처리량 요건들에 따라 결정될 수 있다. 예로서, 프로세스 윈도우는 [-500 nm, +500 nm]의 포커스 범위일 수 있고, 포커스 스텝 크기는 100 nm일 수 있다. 그러한 경우들에서, 총 11개의 포커스 레벨들(포커스 범위의 상부 경계(도면에서 +PW로 표시됨) 및 하부 경계(-PW로 표시됨)를 포함함)이 있을 것이다.
일부 실시예들에서, 포커스 프로세스 윈도우의 원래 범위를 확장하는 패딩 범위(도 7에서 패딩 범위(708)로서 예시됨)가 있을 수 있다. 패딩 범위는 제조자 프로세스의 정확도 요건에 기초하여 정의될 수 있다. 그러한 경우들에서, 복수의 포커스 레벨들은 패딩 범위 내의 하나 이상의 포커스 레벨을 더 포함할 수 있다. 예를 들어, 총 포커스 레벨들의 개수(또는 스텝들의 개수)는: (프로세스 윈도우 + 패딩 범위 * 2)/포커스 스텝 크기로서 계산될 수 있다.
각각의 포커스 레벨에서, 결함 이미지들의 세트(예를 들어, 도 7에 예시된 바와 같은 4개의 결함 이미지들)는 어떠한 툴 구성도 변경하지 않고 마스크 검사 툴에 의해 연속적으로(예를 들어, 매 2개의 이미지들 사이에 비교적 짧은 시간 간격으로) 취득될 수 있다. 그 다음, 검사 툴의 포커스 레벨이 프로세스 윈도우의 복수의 포커스 레벨들 중 다음 레벨로 조정될 수 있고, 결함 이미지들의 다른 세트가 유사한 방식으로 취득될 수 있다. 일단 복수의 포커스 레벨들이 일주되면, 결함 이미지들의 복수의 세트들이 취득되며, 따라서 결함 이미지들의 뱅크(702)를 구성한다.
유사하게, 기준 다이의 대응하는 위치에 대해 복수의 포커스 레벨들에서 취득된 기준 이미지들의 복수의 세트들을 포함하는, 기준 이미지들의 뱅크(704)가 유사한 방식으로 획득될 수 있다. 본 예에서, 하나의 기준 위치가 각각의 결함 후보에 대해 사용되고, 기준 이미지들의 하나의 뱅크(704)가 기준 위치에서 취득되지만, 일부 다른 경우들에서는 다수의 기준들이 식별될 수 있고(예를 들어, 마스크의 다수의 기준 다이들로부터의 다수의 기준 위치들), 기준 이미지들의 다수의 뱅크들이 다수의 기준 위치들에서 취득될 수 있고, 기준 이미지들의 단 하나의 뱅크 대신에, 결함 이미지들의 뱅크에 대한 기준들로서 사용될 수 있다는 점을 주목해야 한다.
도 7에 예시된 바와 같이, 주어진 포커스 레벨에서 취득된 이미지들(결함 이미지들 또는 기준 이미지들 중 어느 하나)의 세트는 다수의 이미지들(예를 들어, 4개의 이미지들)을 포함하지만, 이는 예시적이고 단지 예시적인 목적을 위한 것이며, 어떠한 방식으로든 본 개시내용을 제한하도록 의도되지 않는다는 점을 더 주목해야 한다. 일부 실시예들에서, 주어진 포커스 레벨에서 취득된 이미지들의 세트는 단일 이미지를 포함할 수 있다.
세트에 다수의 결함/기준 이미지들을 갖는 옵션은 결함/기준 이미지들에 나타나는 무작위 잡음들에 의해 야기되는 오경보들을 효과적으로 감소시킬 수 있고, 따라서 검출 감도 및 측정 정확도를 개선한다. 예로서, 일부 경우들에서, 세트로부터의 다수의 기준 이미지들은 필터링되고 조합될 수 있으며, 그에 의해 최적 기준 이미지를 생성하고, 이는 무작위 잡음들을 억제하고 결함 이미지와 기준 이미지 사이의 실제 불일치들을 드러내는 데 사용될 수 있다.
일부 실시예들에서, 원래 결함 이미지뿐만 아니라 결함 이미지들 및 기준 이미지들의 뱅크를 캡처하는 데 사용되는, 본원에서 언급되는 마스크 검사 툴(예컨대, 예를 들어, 마스크 검사 툴(120))은 화학선 검사 툴, 예컨대, 예를 들어, 어플라이드 머티어리얼스 인코포레이티드(Applied Materials Inc.)의 에어라 마스크(Aera Mask) 검사 툴이다. 화학선 검사 툴은, 도 5를 참조하여 위에서 설명된 바와 같이, 마스크에 따른 반도체 웨이퍼들의 제조에 사용가능한 리소그래피 툴(예컨대, 스캐너 또는 스텝퍼)의 광학 구성을 에뮬레이팅하도록 구성된다.
그러한 화학선 검사 툴에 의해 취득되는 이미지들(즉, 에어리얼 이미지들)은 리소그래피 툴을 통해 마스크를 사용하여 제조되는 웨이퍼의 이미지들과 비슷할 것으로 예상된다. 다시 말해서, 화학선 마스크 검사 툴은, 마스크에서의 설계 패턴들이 제조 프로세스 후에 물리적 웨이퍼에서 실제로 어떻게 나타날지를 모방할 수 있는 마스크 이미지들을 캡처하도록 구성된다.
일부 경우들에서, 화학선 검사 툴은 마스크를 검사하는 데 이용가능하지 않을 수 있다. 그러한 경우들에서, 비-화학선 검사 툴, 예컨대, 예를 들어, 정규 광학 검사 툴, 전자 빔 툴 등이 마스크의 비-에어리얼 이미지들을 취득하는 데 사용될 수 있다. 리소그래피 툴의 광학 구성들을 모의하기 위해, 취득된 비-에어리얼 이미지들에 대해 모의가 수행될 수 있고, 이로써, 마스크의 에어리얼 이미지들을 생성한다. 이에 따라, 일부 실시예들에서, 도 2를 참조하여 설명된 바와 같은 마스크 검사 방법은, 비-화학선 검사 툴에 의해 취득된 이미지들의 뱅크를 획득하는 단계, 및 리소그래피 툴의 광학 구성을 모의하기 위해, 이미지들에 대해 (예를 들어, PMC(102)의 이미지 처리 모듈(104)에 의해, 또는 마스크 검사 툴(120)의 처리 모듈에 의해 등으로) 모의를 수행하고, 결함 이미지들(즉, 에어리얼 이미지들)의 뱅크를 생성하는 단계의 예비 단계들을 더 포함할 수 있다.
일부 실시예들에서, 획득되는 바와 같은 결함 이미지들(및/또는 기준 이미지들)의 뱅크는 추가의 처리 전에 전처리될 수 있다. 전처리는 이하의 작동들: 보간(예를 들어, 이미지들이 비교적 낮은 해상도를 갖는 경우), 잡음 필터링, 초점 보정, 수차 보상, 및 이미지 포맷 변환 등 중 하나 이상을 포함할 수 있다.
본 개시내용은 마스크 검사 툴의 특정 양상, 및/또는 그에 의해 취득된 이미지들의 유형, 및/또는 이미지들을 처리하기 위해 요구되는 전처리 작동들에 제한되지 않는다는 점을 주목해야 한다.
도 2의 설명을 계속하면, 복수의 포커스 레벨들에서의 기준 이미지들의 뱅크 및 결함 후보의 결함 이미지들의 뱅크가 마스크 검사 툴에 의해 취득되면, 이미지들은 그에 작동가능하게 연결된 시스템(101)에 송신되고 더 처리될 수 있다. 일부 실시예들에서, 복수의 포커스 레벨들 중에서 최적 포커스가 결정될 수 있고, 최적 포커스에서의 결함 이미지들의 세트에 기초하여 (예를 들어, PMC(102)의 이미지 처리 모듈(104)에 의해) 복합 결함 이미지가 생성될 수 있다(208).
특정 실시예들에 따르면, 이미지 패턴(즉, 이미지화되는 시편의 패턴)의 최고 콘트라스트를 생성하는 이미지를 식별하기 위해, 최적 포커스는 각각의 포커스 레벨에서 결함 이미지들의 세트 내의 적어도 하나의 결함 이미지에 대해 포커스 측정을 적용함으로써 결정될 수 있다. 예로서, 포커스 스코어가, 각각의 포커스 레벨의 적어도 하나의 이미지에 대한 포커스 측정치에 기초하여 계산될 수 있고, 최대 스코어를 갖는 이미지가 식별된다.
특정 실시예들에 따르면, 이미지에 대한 포커스 스코어는 이미지의 포커스 정도(예를 들어, 선명도/콘트라스트)를 평가하는 상이한 포커스 측정치들을 사용하여 계산될 수 있고, 본 개시내용은 특정 포커스 스코어 계산으로 제한되지 않는다. 예로서, 이미지의 1차 도함수들의 기울기 또는 근사치들에 기초하는 기울기 기반 포커스 측정치가 포커스 스코어를 계산하는 데 사용될 수 있다. 이 포커스 측정치는, 포커싱된 이미지들이, 블러처리된 이미지들보다 더 선명한 에지들을 제공한다는 가정을 따른다. 따라서, 기울기의 에너지는 포커스의 정도를 추정하기 위해 이용될 수 있다. 유사하게, 이미지의 2차 도함수에 기초하는 라플라시안 기반 포커스 측정치가 또한 사용될 수 있다. 다른 예로서, 이미지의 텍스트 기술어들에 기초하는 통계 기반 포커스 측정치가 사용될 수 있다. 이 포커스 측정치는, 디포커싱된 이미지가, 디포커스의 레벨들을 증가시키기 위해 그 매끄러움이 증가하는 텍스처로서 해석될 수 있다는 가정을 따른다.
위에서 설명된 바와 같은 포커스 측정치들은 예시의 목적들만을 위하여 예시된 것이고, 어떤 방식으로든 본 개시내용을 제한하는 것으로 간주되어서는 안 된다는 것을 주목해야 한다. 상기한 것에 추가하여 또는 그 대신에, 다른 적합한 포커스 측정치들, 예컨대, 예를 들어, 웨이블릿 기반 포커스 측정치, 또는 이미지 콘트라스트에 기초한 포커스 측정치가 사용될 수 있다.
복수의 포커스 레벨들 중에서 최적 포커스가 결정되면, 최적 포커스에서의 결함 이미지들의 세트에 기초하여 복합 결함 이미지가 생성될 수 있다. 도 8을 참조하면, 본 개시된 주제의 특정 실시예들에 따른, 최적 포커스의 결함 이미지들의 세트가 예시된다.
복수의 포커스 레벨들에서 취득된 결함 이미지들의 복수의 세트들을 포함하는 결함 이미지들의 뱅크가 도 8에 예시된다. 본 예에서, 결함 이미지들의 각각의 세트는 5개의 이미지들을 포함한다. 다시 말해서, 결함 이미지들의 뱅크는 이미지들의 5개의 팩들을 포함하고, 각각의 팩은 복수의 포커스 레벨들에 대응하는 복수의 이미지들을 포함한다. 위에서 예시된 바와 같은 포커스 측정치에 기초하여, 결함 이미지들의 각각의 세트의 적어도 하나의 이미지에 대해 포커스 스코어가 계산될 수 있다. 예로서, 일부 경우들에서, 각각의 세트로부터 하나의 이미지가 선택될 수 있고, 선택된 이미지들에 대해 포커스 스코어가 계산될 수 있다. 예를 들어, 선택된 이미지들은 이미지들의 하나의 팩의 대응하는 이미지들일 수 있다. 일부 다른 경우들에서, 포커스 스코어는 각각의 세트의 모든 이미지들에 대해 계산될 수 있고, 각각의 세트에 대한 정규화된 포커스 스코어는 스코어들을 모든 이미지들에 대해 조합함으로써 생성될 수 있다. 복수의 포커스 스코어들(또는 정규화된 포커스 스코어들)이 순위화될 수 있고, 최상의 포커스 스코어가 선택될 수 있다. 최상의 포커스 스코어가 도출되는 포커스 레벨이 최적 포커스로서 선택된다.
도 8에 예시된 바와 같이, 최적 포커스(800)가 선택되고, 이미지들의 세트(802)가 최적 포커스(800)에서 취득된 이미지들이라고 가정한다. 복합 결함 이미지는, 예를 들어, 결함 이미지들의 세트를 조합/평균화함으로써 결함 이미지들의 세트(802)에 기초하여 생성될 수 있다. 세트 내의 이미지들은 어떠한 툴 구성들도 변경하지 않고서 연속적으로 취득되기 때문에, 어떠한 시프트도 거의 없을 수 있거나, 일부 경우들에서는 이미지들 사이에 사소한 시프트들(예를 들어, 픽셀미만 시프트들)만이 있을 수 있다는 것을 인식한다. 일부 실시예들에서, 이미지들의 세트는 조합/평균화되기 전에 정합될 수 있다. 예로서, 측면들 상의 4개의 이미지들은, 예시된 바와 같이, 예를 들어, 루카스-카나데(Lucas-Kanade) 정합 알고리즘을 사용하여 중간의 이미지와 정합될 수 있다. 정합된 이미지들은 복합 결함 이미지를 도출하기 위해, 합산된 다음 평균화될 수 있다.
본 개시내용에서 언급되는 바와 같은 이미지 정합은 2개의 이미지들 사이의 오프셋을 측정하는 것, 및 오프셋을 보정하기 위해 하나의 이미지를 다른 이미지에 대해 시프트하는 것을 포함할 수 있다. 오프셋은 다양한 인자들, 예컨대, 예를 들어, 툴 드리프트들(예를 들어, 스캐너 및/또는 스테이지 드리프트)로부터 초래되는 내비게이션 에러들 등에 의해 야기될 수 있다. 정합은 관련 기술분야에 알려진 임의의 적합한 정합 알고리즘들에 따라 구현될 수 있다. 예로서, 정합은 다음의 알고리즘들: 영역 기반 알고리즘, 피쳐 기반 정합, 또는 위상 상관 정합 중 하나 이상을 사용하여 수행될 수 있다. 영역 기반 방법의 예는 위에서 언급된 루카스-카나데(LK) 알고리즘과 같은 광학적 흐름을 사용하는 정합이다. 피쳐 기반 방법들은, 2개의 이미지들에서 별개의 정보 지점들("피쳐들")을 찾고 피쳐들의 대응관계에 기초하여 각각의 쌍 사이의 필요한 변환을 계산하는 것에 기초한다. 이는 상이한 영역들이 개별적으로 이동되는 탄성 정합(즉, 비강성 정합)을 허용한다. 위상 상관 정합은 주파수 도메인 분석을 사용하여 행해진다(푸리에 도메인에서의 위상 차이가 이미지 도메인에서의 정합으로 변환됨).
위에서 설명된 바와 같이 생성된 복합 결함 이미지는 아마도, 억제된 무작위 잡음을 갖고, 따라서 이미지들의 세트의 단일 결함 이미지에 비해 더 높은 정확도를 갖는다. 이미지들의 세트가 단일 결함 이미지로 구성되는 경우들에서, 복합 결함 이미지를 생성할 필요가 없는데, 다시 말해서, 단일 이미지가 복합 결함 이미지로서 간주될 수 있다.
일단 복합 결함 이미지가 생성되면, 원래 결함 이미지의 하나 이상의 결함 픽셀에 대응하는 복합 결함 이미지 내의 하나 이상의 타겟 픽셀의 영역을 식별하기 위해, 원래 결함 이미지는 (예를 들어, PMC(102)의 정렬 모듈(106)에 의해) 복합 결함 이미지와 정렬될 수 있다(210). 일부 경우들에서, 이미지들을 정렬하기 위해, 먼저 복합 결함 이미지/원래 결함 이미지에 포함된 패턴이 정합가능한지 여부를 확인하는 것이 필요하다.
도 4를 참조하면, 본 개시된 주제의 특정 실시예들에 따른, 원래 결함 이미지와 복합 결함 이미지 사이의 정렬의 일반화된 흐름도가 예시된다.
일부 실시예들에서, 정렬은 복합 결함 이미지에 포함된 패턴의 정합가능성을 검증하는 단계(400), 및 검증에 기초하여, 복합 결함 이미지에서의 하나 이상의 타겟 픽셀(본원에서 타겟 영역으로 또한 지칭됨)의 영역을 결정하는 단계(408)를 포함할 수 있다. 일부 경우들에서, 패턴의 정합가능성은 이미지의 치수에 대한 패턴의 주기성에 기초하여 결정될 수 있다.
일부 실시예들에서, 정합가능성의 검증은 이미지들의 다음: 시프트된 세트를 획득하기 위해 각각의 오프셋들을 갖는 방향들의 세트로 패턴을 시프트하는 단계(402), 복합 결함 이미지와 이미지들의 시프트된 세트 사이의 이미지 정합을 수행하는 단계(404), 및 이미지 정합의 결과들에 기초하여 정합가능성을 결정하는 단계(406)로 수행될 수 있다.
도 9는 본 개시된 주제의 특정 실시예들에 따른, 예시적인 패턴의 정합가능성의 검증의 개략도이다.
특정 라인 패턴을 갖는 결함 이미지(902)가 예시된다. 패턴의 정합가능성을 결정하기 위해, 패턴은 8개의 상이한 방향들의 세트로 시프트되고, 예시된 바와 같이, 8개의 시프트된 이미지들을 생성한다. 시프트되지 않은 이미지(902)와 8개의 시프트된 이미지들 중 각각의 시프트된 이미지 간에 정합하기 위해 이미지 정합 알고리즘(예컨대, 상기 예시된 알고리즘들 중 임의의 것)이 사용될 수 있다. 모든 시프트된 이미지들(또는 그 대부분)이, 시프트되지 않은 이미지와 적절히 정합될 수 있는 경우, 이는 패턴이 정합가능함을 나타낸다. 그렇지 않으면, 패턴은 정합불가능한 것으로 간주된다.
복합 결함 이미지의 하나 이상의 타겟 픽셀의 영역은 검증된 정합가능성에 기초하여 결정될 수 있다. 예로서, 패턴이 정합가능한 것으로 간주되는 경우들에서, 예를 들어, 2개의 이미지들 사이의 이미지 정합을 수행하고, 정합된 시프트들에 기초하여 대응하는 타겟 픽셀들을 발견함으로써, 원래 결함 이미지의 결함 픽셀들에 대응하는 타겟 픽셀들을 식별하는 것이 비교적 쉽다. 그러한 경우들에서, 타겟 영역은 식별된 바와 같은 타겟 픽셀들을 포함하는 것으로 결정될 수 있다. 일부 경우들에서, 타겟 영역은 사소한 정합 에러를 견디기 위한 목적으로, 타겟 픽셀들을 확장하는 비교적 작은 픽셀 확장을 추가적으로 포함할 수 있다.
패턴이 정합불가능한 것으로 간주되는 경우들에서, 원래 결함 이미지의 결함 픽셀들에 대응하는 타겟 픽셀들을 식별하는 것이 일반적으로 매우 어려운데, 이는 정합불가능한 패턴이 이미지 내에서 종종 반복적이고, 따라서 하나의 반복적인 피쳐를 다른 것으로부터 분리하는 것이 불가능할 수 있기 때문이다. 타겟 픽셀들을 누락하지 않기 위해, 타겟 영역은 비교적 큰 영역일 수 있다. 예를 들어, 타겟 영역의 크기는 비교적 큰 픽셀 확장을 갖는 원래 결함 이미지의 결함 픽셀들의 결함 블롭의 크기에 기초하여 결정될 수 있다.
그와 같이 결정된 타겟 영역은, 블록(212)을 참조하여 아래에 설명되는 바와 같이, 결함 이미지들의 뱅크에서 EPD 측정들을 수행하기 위한 위치 표시로서 사용될 수 있다.
구체적으로, 각각의 포커스 레벨에 대해, 하나 이상의 타겟 픽셀의 영역에 기초하여 (예를 들어, PMC(102)의 측정 모듈(108)에 의해) 측정치가 제공될 수 있으며(212), 측정치는 포커스 레벨의 기준 이미지들의 세트로부터 도출된 적어도 하나의 기준 이미지와 결함 이미지들의 세트 사이의 변위를 나타낸다. 복수의 포커스 레벨들 중 각각의 포커스 레벨에 대해 측정치가 획득되면, 복수의 포커스 레벨들에 대응하는 복수의 측정치들이 제공될 수 있다. 예로서, 측정치는 위에서 설명된 바와 같은 EPD 측정치이다.
위에서 설명된 바와 같이, 복합 결함 이미지에서 타겟 영역이 일단 식별되면, (이미지들의 뱅크 내의 이미지들 사이에 시프트가 거의 또는 전혀 없을 수 있다는 가정 하에) 복합 결함 이미지의 타겟 영역의 위치에 기초하여, 결함 이미지들의 뱅크의 각각의 이미지의 대응하는 영역이 식별될 수 있다.
도 10은 본 개시된 주제의 특정 실시예들에 따른, 원래 결함 이미지, 결함 이미지들의 뱅크로부터의 결함 이미지, 및 결함 이미지에서 식별된 바와 같은 타겟 영역의 예를 예시한다.
도시된 바와 같이, 이미지(1002)는 블록(202)과 관련하여 위에서 설명된 바와 같은 원래 결함 이미지이고, 이미지(1004)는 블록(204)과 관련하여 위에서 설명된 바와 같이 취득된 결함 이미지들의 뱅크로부터의 결함 이미지이다. 예시된 바와 같이, 2개의 이미지들은 반복적인 라인들 및 공간들을 갖는 정합불가능한 패턴을 포함한다. 위에서 설명된 바와 같이, 그러한 경우들에서, 원래 결함 이미지(1002)의 결함 픽셀들(1001)에 대응하는, 결함 이미지(1004)의 정확한 타겟 픽셀들(1006)을 식별하는 것이 매우 어렵다. 타겟 영역(1008)은 위에서 설명된 바와 같이 결정될 수 있고, 이는 충분히 크고, 따라서 아마도, 타겟 픽셀들을 커버한다.
예시된 바와 같이, 결함 이미지(1004)에서의 타겟 픽셀들(1006)의 위치 및 원래 결함 이미지(1002)에서의 결함 픽셀들(1001)의 위치는, 심지어 2개의 이미지들이 동일 검사 툴을 이용하여 캡처될 때에도 시프트된다. 정렬 프로세스를 수행하고 타겟 영역(1008)을 결정하는 것 없이, 원래 결함 이미지(1002)의 결함 픽셀들(1001)의 위치에 따라 직접 EPD 측정이 수행되는 경우, 무효 측정이 발생할 것이고, 이는 측정이, 시프트된 실제 결함 위치와 상이한 잘못된 위치로부터 취해지기 때문이다. 결정된 바와 같은 타겟 영역을 사용하여, 아래에 상세히 설명되는 바와 같이, 실제 위치에서의 EPD 결함들을 누락하지 않도록 타겟 영역 내에서 EPD 추정이 수행될 수 있다.
특정 실시예들에 따르면, 식별된 타겟 영역의 위치에서 측정들을 수행하기 위해, 주어진 포커스 레벨에서 결함 이미지들의 세트 및 기준 이미지들의 세트에 인쇄 임계치(PT)가 적용될 수 있어, 이진 결함 이미지들의 세트 및 이진 기준 이미지들의 세트를 생성하고, 이진 결함 이미지들의 세트 및 이진 기준 이미지들의 세트에 기초하여 측정이 수행될 수 있다. 이진 이미지들은 반도체 시편(예를 들어, 웨이퍼) 상에 인쇄가능한, 마스크의 대응하는 부분의 구조적 요소들/피쳐들에 대한 정보를 제공한다.
리소그래피 프로세스 동안, 웨이퍼는 흡수된 에너지의 총량에 응답하는 화학 포토레지스트에 의해 커버된다. 마스크가 (레지스트에서의 화학적 변화들을 야기하는) 특정 강도 위로(또는 일부 경우들에서는 아래로) 조명되는 경우 웨이퍼 상에 패턴이 인쇄되고, 이 강도 레벨은 이하에서 인쇄 임계치(PT)로 지칭된다.
이제 도 12를 참조하면, 본 개시된 주제의 특정 실시예들에 따른, 인쇄 임계치에 기초한 일반화된 리소그래피 및 패턴 전사 프로세스의 개략도가 있다.
도시된 바와 같이, 예시(1200)는 조명 시에 광을 투과시키는 투명 영역(1202)(예를 들어, 석영으로 만들어짐), 및 광을 차단하는 불투명 영역(1204)(예를 들어, 크롬으로 만들어짐)을 포함하는 예시된 마스크를 보여준다. 위에서 설명된 바와 같이 획득된 복수의 이미지들(에어리얼 이미지들)은 마스크를 통해 투과된 광을 수집하는 검출기에 의해 캡처되는 이미지들을 지칭한다.
실제로, 제조 툴(예를 들어, 스캐너 또는 스텝퍼)에 의한 실제 웨이퍼 제조 프로세스는, 리소그래피 프로세스에 후속하는, 레지스트 프로세스 및 식각 프로세스를 포함한다. 웨이퍼는 감광성 물질인 포토레지스트로 코팅된다. 광에 대한 노출은, 프로세스에 따라, 레지스트의 섹션들이 경화되거나 연화되게 한다. 노출 후에, 웨이퍼가 현상되고, 노출 동안 수신된 영역들의 투과된 광의 양(즉, 광 강도)에 따라 특정 영역들에서 포토레지스트가 용해되게 한다.
예로서, 투과된 광의 강도를 나타내는 파형(1205)이 예시된다. 주어진 영역에서의 포토레지스트가, 투과된 광의 특정 강도 미만으로 노출되는 경우, 패턴들은 웨이퍼 상에 인쇄될 것이다. 포토레지스트가 있고 없는 이러한 영역들이 마스크 상에 설계 패턴들을 재현한다. 그러므로, 특정 강도는 도 12에 예시된 바와 같이 인쇄 임계치(1205)로 알려져 있다. 그 다음, 현상된 웨이퍼는 포토레지스트 코팅에 의해 더 이상 보호되지 않는 웨이퍼의 부분들에서 규소를 식각 제거하는 용매들에 노출되고, (주어진 층에 대해) 인쇄된 웨이퍼(1208)를 생성한다.
이에 따라, 웨이퍼 제조 툴의 광학 구성을 모방하는 화학선 검사 툴에서, 파형(1205)은 제1 이미지를 형성하기 위해 화학선 검사 툴의 검출기에 의해 캡처될 투과된 광을 표현한다. 화학선 검사 툴에서, 검출기가 웨이퍼를 교체했고 실제 레지스트 및 식각 프로세스가 없기 때문에, 인쇄된 웨이퍼와 비슷한 이미지를 획득하기 위해, 레지스트 및 식각 프로세스의 효과를 모방하도록 인쇄 임계치(1205)가 에어리얼 이미지에 적용될 필요가 있고, 웨이퍼(1208) 상의 인쇄된 패턴들과 비슷한 이진 이미지를 생성한다. 구체적으로, 이진 이미지는 웨이퍼 상에 인쇄가능한, 마스크의 복수의 구조적 요소들에 대한 정보를 제공한다.
본 예에서, 인쇄 임계치 미만의 패턴들이 웨이퍼 상에 인쇄가능한 것으로서 예시되지만(즉, 포지티브 레지스트), 이는 반드시 그렇지는 않다는 점을 주목해야 한다. 일부 다른 경우들에서, 이는 반대일 수 있는데, 즉, 인쇄 임계치 초과의 패턴들이 웨이퍼 상에 인쇄가능하다(즉, 네거티브 레지스트). 본 개시내용은 인쇄가능한 피쳐들을 렌더링하기 위한, 인쇄 임계치의 특정 적용에도 특정 레지스트 프로세스에도 제한되지 않는다.
특정 실시예들에 따르면, 인쇄 임계치는, 도 3에 예시된 바와 같이, 이미지들의 뱅크들에 적용되기 전에 예비적으로 교정될 수 있다(304).
일부 실시예들에서, PT는 알려진 치수들을 갖는 (예를 들어, "설계 의도" CAD 클립으로부터 선택된 바와 같은) 대표 패턴에 대해 계산될 수 있다. 일부 경우들에서, 대표 패턴은 그 안의 다수의 위치들에 걸쳐 PT를 계산하고 평균화하는 것을 가능하게 하고, 따라서 툴 잡음을 감소시키고 PT 계산의 높은 정확도를 제공할만큼 충분히 길어야 한다.
예로서, 대표 패턴의 에어리얼 이미지를 획득할 시에, 마스크 검사 툴은 에어리얼 이미지를 대응하는 CAD 데이터의 "설계 의도"에 대응하는 폭 및 길이를 갖는 이진 이미지로 변환하기 위해 웨이퍼 레지스트를 모의할 수 있다. 그레이 레벨(GL) 임계치는 대표 패턴의 길이를 따라 모든 픽셀들에 대해 계산될 수 있고, PT는 평균화된(예를 들어, 최소, 최대, 평균, 중앙값, 또는 다른 통계 기반) GL 임계치로서 계산될 수 있다. 선택적으로, PT의 정확도는 노출 조건들에 기초하는 추가의 교정 및/또는 프레임 상의 위치에 기초하는 교정에 의해 증가될 수 있다.
이제 도 11을 참조하면, 본 개시된 주제의 특정 실시예들에 따른, 이진 결함 이미지, 이진 기준 이미지 및 이들의 차이 이미지의 예가 예시된다.
도 10을 참조하여 설명된 바와 같은 이미지(1004)는 블록(204)을 참조하여 취득된 결함 이미지들의 뱅크로부터의 결함 이미지이다. 이미지(1103)는 결함 이미지(1004)의 기준 이미지이다. 예를 들어, 이미지(1004) 및 이미지(1103)는 도 7에 예시된 바와 같이 결함 이미지들의 뱅크(702) 및 기준 이미지들의 뱅크(704)의 동일한 포커스 레벨로부터의 이미지들의 쌍(710)일 수 있다.
이미지(1102)는 결함 이미지(1004) 상에 인쇄 임계치를 적용함으로써 획득된 이진 결함 이미지이다. 이미지(1104)는 기준 이미지(1103)에 인쇄 임계치를 적용함으로써 획득된 이진 기준 이미지이다. 2개의 이진 이미지들은 식별된 바와 같은 타겟 영역(1008)에서 (예를 들어, 하나를 다른 하나로부터 감산함으로써) 비교될 수 있고, 타겟 영역 내의 차이를 나타내는 이진 차이 이미지(1106)를 생성한다. 예시된 바와 같이, 이진 차이 이미지(1106)에서의 차이/편차는 2개의 이미지들에서의 라인 구조들의 에지들/윤곽들 사이의 에지 위치 변위(EPD)를 나타낸다.
일부 경우들에서, 이진 이미지들로부터 획득되는 차이들은 다소 미묘할 수 있고, 무작위 에지 거칠기와 혼합될 수 있다. EPD 결함들은, 적어도: i) EPD는 국부적인(윤곽의 국부적인 위치에 존재하는) 반면에, 에지 거칠기(제조 프로세스에서 상이한 변동들에 의해 야기될 수 있음)는 에지들 전체에 존재하고, ii) EPD의 진폭이, 에지들을 따른 미묘한 거칠기의 진폭과 비교하여 비교적 더 상당하다(즉, 더 강하다/더 크다)는 점에서 에지 거칠기와 상이하다는 것을 주목해야 한다.
식별된 차이들의 유효성을 검증하기 위해, 결함 이미지(1004)와 기준 이미지(1103)를 비교함으로써 GL 차이 이미지(1108)가 도출될 수 있다. GL 차이 이미지(1108)는 이진 차이 이미지에 표시된 차이들이, GL 차이 이미지에 도시된 바와 같은 EPD 결함들과 실제로 연관됨을 검증하는 데 사용될 수 있다.
일부 실시예들에서, 결함 이미지 및 대응하는 기준 이미지는 (예를 들어, 위에서 설명된 바와 같은 이미지 정합 알고리즘들 중 임의의 것을 사용하여) 비교되기 전에 정합되어야 한다는 점을 주목해야 한다. 대안적으로, 일부 실시예들에서, 정합은 생략될 수 있다. 예를 들어, 결함 이미지와 기준 이미지 사이에 실질적인 오프셋이 존재하지 않을 수 있는 것으로 추정될 수 있는 경우들에서는 정합이 생략될 수 있다.
도 13은 본 개시된 주제의 특정 실시예들에 따른, 이진 차이 이미지에 대한 EPD 측정치들의 예를 예시한다. 도시된 바와 같이, 이진 차이 이미지에서의 2개의 에지들/윤곽들 사이의 최대 거리(1302)가 에지 변위의 측정치로서 측정된다.
도 11 및 13에 관하여 설명된 바와 같은 상기 측정 프로세스는 결함 이미지들의 뱅크의 각각의 결함 이미지에 대해 반복될 수 있다. 구체적으로, 복수의 포커스 레벨들 중 각각의 포커스 레벨에 대해, 결함 이미지들의 세트의 각각의 결함 이미지와 적어도 하나의 기준 이미지 사이의 타겟 영역에서 도출된 차이 이미지에서 변위가 측정될 수 있고, 결함 이미지들의 세트에 대응하는 변위들의 세트를 생성한다. EPD 측정치는 (예를 들어, 변위들의 세트를 평균화함으로써) 변위들의 세트에 기초하여 생성될 수 있다.
일부 실시예들에서, 주어진 포커스 레벨에서, 각각의 결함 이미지는, 도 7의 이미지 쌍(710)에 예시된 바와 같이, 대응하는 기준 이미지와 비교될 수 있다. 일부 경우들에서, 복합 기준 이미지는 주어진 포커스 레벨에서 기준 이미지들의 세트를 조합함으로써 생성될 수 있고, 이에 의해 다양한 무작위 잡음들을 감소시킨다. 복합 기준 이미지는 주어진 포커스 레벨에서 결함 이미지들의 세트의 각각의 결함 이미지에 대한 기준 이미지로서 사용될 수 있으며, 이에 의해, 개선된 SNR을 갖는 차이 이미지를 도출한다.
특정 실시예들에 따르면, 블록(208)과 관련하여 설명된 바와 유사한 방식으로, 복수의 포커스 레벨들 중에서 기준 이미지들의 뱅크에 대해 최적 포커스가 결정될 수 있다. 기준 이미지들의 최적 포커스와 결함 이미지들의 최적 포커스 사이의 시프트에 응답하여, 결함 이미지들과 기준 이미지들의 대응하는 포커스 레벨들이 시프트에 기초하여 연관될 수 있다. 도 14는 본 개시된 주제의 특정 실시예들에 따른, 결함 이미지들의 뱅크의 최적 포커스(1102)가 기준 이미지들의 뱅크의 최적 포커스(1104)로부터 시프트되는 예시적인 상황을 예시한다. 그러한 경우들에서, 최적 포커스 레벨로부터 시작하여, 결함 이미지들의 뱅크와 기준 이미지들의 뱅크로부터의 대응하는 포커스 레벨들이 연관될 수 있다. 주어진 포커스 레벨에서의 결함 이미지들에 대해, 비교를 위해 사용되는 기준 이미지들이, 연관된 포커스 레벨로부터 취해진다.
EPD 측정치들이 각각의 포커스 레벨에 대해 획득되면, 포커스 프로세스 윈도우의 복수의 포커스 레벨들에 대응하는 복수의 EPD 측정치들이 제공될 수 있다. 도 15는 본 개시된 주제의 특정 실시예들에 따른, 복수의 포커스 레벨들에 대응하는 복수의 EPD 측정치들의 예시적인 플롯 표현을 예시한다. 전술된 바와 같이, 마스크 상의 회로 패턴이 프로세스 윈도우 내의 상이한 포커스 레벨들의 변화들에 어떻게 응답할 수 있는지를 확인하는 것이 바람직할 수 있다. EPD 측정 추정은 프로세스 윈도우의 상이한 포커스 레벨들이 칩 수율에 어떻게 영향을 미칠 수 있는지에 관한 정보를 사용자에게 제공할 수 있다. 일부 경우들에서, 그러한 플롯들이 GUI(124) 상에 렌더링될 수 있다. 선택적으로, (변동 임계치와 관련하여) 프로세스 윈도우의 상이한 포커스 레벨들에서의 EPD 측정치들 사이에 비교적 큰 변동이 있는 경우들에서, EPD 결함은 사용자에 의해 더 검토될 결함으로서 마킹될 수 있다. 선택적으로, 상이한 포커스 레벨들에서의 EPD 측정치들이 각각의 미리 정의된 EPD 임계치들을 초과하는 경우들에서, EPD 결함은 사용자에 의해 더 검토될 결함으로서 마킹될 수 있다.
EPD 측정치들은 마스크 검사 툴(120) 및/또는 마스크의 추가의 검사, 예컨대, 예를 들어, 추가적인 결함 검출, 결함 검토, 결함 분류, 계측 관련 작동들(예를 들어, CD 측정) 및/또는 임의의 다른 검사 작동들을 위해 마스크 검사 시스템(100)에 포함된 바와 같은 하나 이상의 검사 모듈에 의해 사용될 수 있다.
도 2를 참조하여 설명된 바와 같은 프로세스는, 블록(302)을 참조하여 위에 설명된 바와 같이, 마스크 상의 결함 후보 분포를 나타내는 결함 맵으로부터 선택된 결함 후보들의 목록으로부터의 하나 이상의 추가적인 결함 후보에 대해 반복될 수 있다. 일부 실시예들에서, 마스크 상의 검사될 관심 영역(ROI)은 미리 정의될 수 있고, ROI 내의 하나 이상의 결함 후보는 위에서 설명된 프로세스를 사용하여 검사될 수 있다. 일부 경우들에서, ROI는 전체 마스크로서 정의될 수 있는 반면, 일부 다른 경우들에서, ROI는 마스크의 부분으로서 정의될 수 있다.
도 2를 참조하여 설명된 바와 같은 마스크 검사 프로세스가, 도 6에 예시된 바와 같은 다중 다이 마스크의 예를 사용하여 예시되지만, 이는 결코 본 개시내용을 임의의 방식으로 제한하도록 의도된 것이 아니라는 점을 주목해야 한다. 전술된 바와 같이, 제안된 방법들 및 시스템들은 단일 다이 마스크에 유사하게 적용될 수 있다는 것이 이해된다.
예로서, 단일 다이 내의 검사 영역에 위치된 결함 후보에 대해, 검사 영역과 동일한 설계 패턴을 공유하는 동일한 다이로부터의 하나 이상의 기준 영역이 비교를 위한 기준들로서 사용될 수 있다. 단일 다이 마스크의 기준 영역들은 다양한 방식들로 식별될 수 있다. 다이(또는 다이의 부분(들))의 설계 데이터는, 특정 기하학적 구조들 및 배열들을 갖는 다양한 설계 패턴들을 포함할 수 있다.
일부 실시예들에서, 단일 다이 마스크의 설계 데이터가 수신될 수 있고, 각각이, 동일한 설계 패턴을 갖는 하나 이상의 다이 영역에 대응하는 복수의 설계 군들이 검색될 수 있다. 그러므로, 동일한 설계 패턴에 대응하는, 다이의 영역들이 식별될 수 있다. 설계 패턴들은, 그들이 동일할 때, 또는 그들이 고도로 상관될 때, 또는 서로 유사할 때 "동일한" 것으로 간주될 수 있다는 점을 주목해야 한다. 다양한 유사성 기준들 및 알고리즘들이, 유사한 설계 패턴들을 매칭시키고 클러스터링하기 위해 적용될 수 있고, 본 개시내용은 설계 군들을 도출하기 위해 사용되는 임의의 특정 기준들에 의해 제한되는 것으로 해석되어서는 안 된다. 설계 군들의 클러스터링(즉, CAD 데이터로부터 복수의 설계 군들로의 분할)은 사전에, 또는 본 검사 프로세스의 예비 단계로서 PMC(102)에 의해 수행될 수 있다.
선택적으로, 일부 실시예들에서, EPD 측정치들에 응답하여, EPD 결함들에 어떻게 반응할지, 예를 들어, 마스크를 수용할지, 마스크를 수리할지, 또는 마스크를 거부할지 여부가 더 결정될 수 있다. 예로서, 이는, 예를 들어, EPD 결함들이, 인쇄될 시에, 마스크를 사용하여 제조된 반도체 시편의 기능성에 영향을 미칠 것인지 여부를 평가하는 것에 의해 행해질 수 있다. 일부 경우들에서, EPD 결함들의 존재에 응답하여 가능한 처리 작동들은 다음: 마스크를 수리하는 것, 마스크를 결함있는 마스크로서 정의하는 것, 마스크를 기능적으로 정의하는 것, 마스크의 수리 표시를 생성하는 것 등 중 하나 이상을 포함할 수 있다. 예를 들어, 이러한 EPD 결함들이 허용가능하지 않은 경우, 마스크는 수리되거나 거부되도록 마스크 샵으로 전송될 수 있다.
선택적으로, 일부 실시예들에서, 다음: (i) 마스크 샵으로부터 출하될 마스크에 대한 자격 기준을 제공하는 것; (ii) 마스크 생성 프로세스에 입력을 제공하는 것; (iii) 반도체 시편 제조 프로세스에 입력을 제공하는 것; (iv) 리소그래피 프로세스에서 사용되는 모의 모델에 입력을 제공하는 것; (v) 리소그래피 툴에 대한 보정 맵들을 제공하는 것; 및 (vi) 예상되는 것보다 더 큰 CD 변동들을 특징으로 하는, 마스크 상의 영역들을 식별하는 것의 출력/표시들, 또는 이들의 임의의 조합 중 적어도 하나가 제공될 수 있다.
본 개시된 검사 프로세스에 적용가능한 마스크는, 메모리 마스크들 및/또는 논리 마스크들, 및/또는 ArF 마스크들 및/또는 EUV 마스크들 등을 포함하지만 이에 제한되지 않는 임의의 종류의 마스크일 수 있다는 점을 주목해야 한다. 본 개시내용은 검사될 마스크들의 특정 유형 또는 기능성에 제한되지 않는다.
특정 실시예들에 따르면, 도 2, 3 및 4를 참조하여 위에서 설명된 바와 같은 마스크 검사 프로세스는 런타임의 온라인 마스크 검사를 위해 시스템(101) 및/또는 검사 툴(120)에 의해 사용가능한 검사 레시피의 일부로서 포함될 수 있다. 그러므로, 본 개시된 주제는 또한, 레시피 설정 단계 동안 검사 레시피를 생성하기 위한 시스템 및 방법을 포함하고, 여기서 레시피는 도 2, 3 및 4(및 그의 다양한 실시예들)를 참조하여 설명된 바와 같은 단계들을 포함한다. "검사 레시피"라는 용어는, 위에서 설명된 바와 같은 실시예들을 포함하는 임의의 종류의 마스크 검사와 관련된 작동들을 수행하기 위해 검사 툴에 의해 사용될 수 있는 임의의 레시피를 망라하도록 광범위하게 해석되어야 한다는 점을 주목해야 한다.
예를 들어, 본 개시내용에 예시된 예들, 예컨대, 예를 들어, 마스크 검사 툴 아키텍처들 및 구성들, 마스크 유형들 및/또는 레이아웃들, 이미지들의 예시된 뱅크들, 프로세스 윈도우 및 포커스 레벨들뿐만 아니라, 위에서 설명된 바와 같은 이미지 패턴들 등은 예시적인 목적들을 위해 예시된 것이고, 어떠한 방식으로든 본 개시내용을 제한하는 것으로 간주되어서는 안 된다는 점을 주목해야 한다. 다른 적절한 예들/구현들이 상기 내용에 추가적으로 또는 상기 내용 대신에 사용될 수 있다.
본원에서 설명되는 바와 같은 마스크 검사 프로세스의 특정 실시예들의 장점들 중에는, 주어진 결함 후보에 대해, EPD 측정들에 사용되는 이미지들(예를 들어, 결함 이미지들의 뱅크)이, 원래 결함 이미지를 취득하는 동일 검사 툴에 의해 취득되며, 따라서 상이한 툴들의 좌표계들의 차이들을 회피하고, 툴들과 연관된 내비게이션 에러들을 최소화하여, 측정들의 정확도를 개선한다는 점이 있다. 추가적으로, 2개의 툴들(예를 들어, 원래 결함 이미지를 캡처하기 위한 하나의 검사 툴 및 측정들을 위해 새로운 이미지들을 재캡처하기 위한 하나의 계측 툴) 대신에 하나의 검사 툴을 사용하는 것은 검사 비용을 상당히 감소시키고, 처리량을 개선할 수 있다.
게다가, EPD 추정 프로세스 동안, 원래 결함 이미지가 이용가능하고, 결함 이미지들의 새로 캡처된 뱅크와의 정렬에 사용되어, 원래 결함 픽셀들에 대응하는 정확한 타겟 영역을 식별하며, 이는 EPD 측정을 위한 위치의 정확도를 더 보증한다.
마스크 검사 프로세스의 특정 실시예들의 추가적인 장점들은, 전체 프로세스 윈도우에 전체에 걸쳐 상이한 포커스 레벨들에 걸친 이미지들의 뱅크의 취득이, 마스크 상의 회로 패턴이 (예를 들어, 상이한 포커스 레벨들과 연관된 인쇄 에러들을 나타내는 EPD 측정치들을 추정함으로써) 상이한 포커스 레벨들의 변화들에 어떻게 응답할 수 있는지를 추정하고, 상이한 파라미터들이 프로세스 윈도우 전체에 걸쳐 칩 수율에 어떻게 영향을 미칠 수 있는지에 관한 표시를 제공하는 것을 가능하게 한다는 점을 포함한다.
추가적으로, 각각의 주어진 포커스 레벨의 이미지들(특히, 결함 이미지들 또는 기준 이미지들의 세트의 다수의 이미지들)의 세트를 캡처하는 옵션은 취득된 이미지들의 무작위 잡음들을 효과적으로 억제하고 결과적인 차이 이미지들의 오경보들을 감소시킬 수 있으며, 따라서 EPD 측정들의 정확도 및 검출 감도를 개선할 수 있다.
게다가, 복수의 포커스 레벨들 중에서 최적 포커스를 결정하는 것은 (상이한 이미지 패턴들과 같은 다양한 인자들과 관련하여 변할 수 있는) 이미지들의 각각의 뱅크에 대한 실제의 최상의 포커스를 식별할 수 있으며, 따라서 프로세스 윈도의 범위를 재교정할 수 있다. 최상의 포커스 레벨로부터의 이미지들은 원래 결함 이미지와의 정렬에 사용되며, 이는 EPD 측정들을 수행하기 위한 타겟 영역의 정합 및 식별의 정확도를 더 보증한다.
본 개시내용은 본 출원에서, 본원에 포함된 설명에 제시되거나 도면들에 예시된 세부사항들로 제한되지 않는다는 것을 이해해야 한다.
또한, 본 개시내용에 따른 시스템은, 적어도 부분적으로, 적절히 프로그래밍된 컴퓨터 상에 구현될 수 있다는 것을 이해할 것이다. 마찬가지로, 본 개시내용은, 본 개시내용의 방법을 실행하기 위해, 컴퓨터에 의해 판독가능한 컴퓨터 프로그램을 고려한다. 본 개시내용은, 본 개시내용의 방법을 실행하기 위해 컴퓨터에 의해 실행가능한 명령어들의 프로그램을 유형적으로 구현하는 비일시적 컴퓨터 판독가능 메모리를 더 고려한다.
본 개시내용은 다른 실시예들이 가능하고, 다양한 방식들로 실시되거나 수행될 수 있다. 그러므로, 본원에서 채용되는 어법 및 용어는 설명의 목적을 위한 것이며 제한으로서 간주되어서는 안 된다는 점을 이해해야 한다. 이로써, 관련 기술분야의 통상의 기술자는, 본 개시내용이 기초로 하는 개념이, 본 개시된 주제의 몇몇 목적을 수행하기 위한 다른 구조들, 방법들 및 시스템들을 설계하기 위한 기초로서 용이하게 활용될 수 있음을 이해할 것이다.
관련 기술분야의 통상의 기술자는, 다양한 수정들 및 변경들이, 본 개시내용의 범위로부터 벗어나지 않고 앞서 설명되고 첨부된 청구항들에서 그리고 청구항들에 의해 정의된 바와 같이 본 개시내용의 실시예들에 적용될 수 있다는 것을 용이하게 이해할 것이다.

Claims (22)

  1. 반도체 시편을 제조하는 데 사용가능한 마스크를 검사하는 컴퓨터화된 시스템으로서,
    검사 툴 - 상기 검사 툴은:
    결함 후보를 나타내는 하나 이상의 결함 픽셀을 포함하고 상기 마스크의 예비 검사로부터 초래되는 원래 결함 이미지, 및 상기 마스크 상의 상기 결함 후보의 위치를 제공하고;
    상기 위치에 기초하여, 포커스 프로세스 윈도우 전체에 걸쳐 복수의 포커스 레벨들에서 기준 이미지들의 뱅크 및 상기 결함 후보의 결함 이미지들의 뱅크 - 상기 결함 이미지들의 뱅크는 각각의 포커스 레벨에서 취득된 결함 이미지들의 세트를 포함하고, 상기 기준 이미지들의 뱅크는 각각의 포커스 레벨에서 취득된 기준 이미지들의 세트를 포함함 - 를 취득하도록 구성됨 -; 및
    상기 검사 툴에 작동가능하게 연결된 처리 및 메모리 회로(PMC) - 상기 처리 및 메모리 회로는:
    상기 복수의 포커스 레벨들 중에서 최적 포커스를 결정하고, 상기 최적 포커스에서의 상기 결함 이미지들의 세트에 기초하여 복합 결함 이미지를 생성하고;
    상기 하나 이상의 결함 픽셀에 대응하는 상기 복합 결함 이미지 내의 하나 이상의 타겟 픽셀의 영역을 식별하기 위해 상기 원래 결함 이미지를 상기 복합 결함 이미지와 정렬하고;
    각각의 포커스 레벨에 대해, 상기 식별된 영역에 기초하여, 상기 포커스 레벨의 상기 기준 이미지들의 세트로부터 도출된 적어도 하나의 기준 이미지와 상기 결함 이미지들의 세트 사이의 변위를 나타내는 측정치를 제공함으로써, 상기 복수의 포커스 레벨들에 대응하는 복수의 측정치들을 생성하도록 구성됨 -
    를 포함하는, 컴퓨터화된 시스템.
  2. 제1항에 있어서,
    상기 결함 후보는 상기 마스크 또는 그의 일부 상의 결함 후보 분포를 나타내는 결함 맵으로부터 선택된 결함 후보들의 목록으로부터의 것인, 컴퓨터화된 시스템.
  3. 제1항에 있어서,
    상기 검사 툴은 인쇄 임계치(PT)를 교정하도록 더 구성되고, 상기 측정치를 제공하는 단계는 상기 포커스 레벨의 상기 기준 이미지들의 세트 및 상기 결함 이미지들의 세트에 상기 PT를 적용하고, 이진 결함 이미지들의 세트 및 이진 기준 이미지들의 세트를 생성하는 단계, 및 상기 이진 결함 이미지들의 세트 및 상기 이진 기준 이미지들의 세트에 기초하여 상기 측정을 수행하는 단계를 포함하는, 컴퓨터화된 시스템.
  4. 제1항에 있어서,
    상기 결함 이미지들의 뱅크 및 상기 기준 이미지들의 뱅크는 상기 검사 툴의 시야(FOV) 내의 최적 위치에 상기 결함 후보를 배치함으로써 취득되고, 상기 최적 위치는 적어도, FOV 왜곡에 의해 유발되는 잡음들을 감소시키도록 선택되는, 컴퓨터화된 시스템.
  5. 제1항에 있어서,
    상기 복수의 포커스 레벨들은 상기 포커스 프로세스 윈도우를 확장하는 하나 이상의 포커스 레벨을 더 포함하는, 컴퓨터화된 시스템.
  6. 제1항에 있어서,
    상기 정렬하는 단계는 상기 복합 결함 이미지에 포함된 패턴의 정합가능성을 검증하는 단계, 및 상기 검증에 기초하여 상기 복합 결함 이미지 내의 영역을 결정하는 단계를 더 포함하는, 컴퓨터화된 시스템.
  7. 제6항에 있어서,
    상기 정합가능성의 검증은 이미지들의 시프트된 세트를 획득하기 위해 상기 패턴을 각각의 오프셋들을 갖는 방향들의 세트로 시프트하는 것, 상기 복합 결함 이미지와 상기 이미지들의 시프트된 세트 사이의 이미지 정합을 수행하는 것, 및 상기 이미지 정합의 결과에 기초하여 상기 정합가능성을 결정하는 것을 포함하는, 컴퓨터화된 시스템.
  8. 제1항에 있어서,
    상기 PMC는, 상기 기준 이미지들의 뱅크에 대해, 상기 복수의 포커스 레벨들 중에서 최적 포커스를 결정하고, 상기 기준 이미지들의 상기 최적 포커스와 상기 결함 이미지들의 상기 최적 포커스 사이의 시프트에 응답하여, 상기 시프트에 기초하여 상기 결함 이미지들과 상기 기준 이미지들의 대응하는 포커스 레벨들을 연관시키도록 더 구성되는, 컴퓨터화된 시스템.
  9. 제1항에 있어서,
    상기 적어도 하나의 기준 이미지는 상기 기준 이미지들의 세트를 조합함으로써 생성된 복합 기준 이미지인, 컴퓨터화된 시스템.
  10. 제1항에 있어서,
    상기 결함 이미지들의 세트는 하나의 결함 이미지로 구성되고, 상기 복합 결함 이미지는 상기 결함 이미지인, 컴퓨터화된 시스템.
  11. 제1항에 있어서,
    상기 측정치를 제공하는 단계는 상기 결함 이미지들의 세트의 각각의 결함 이미지와 상기 적어도 하나의 기준 이미지 사이의 상기 영역에서 도출된 차이 이미지의 변위를 측정하여, 상기 결함 이미지들의 세트에 대응하는 변위들의 세트를 생성하는 단계, 및 상기 변위들의 세트에 기초하여 상기 측정치를 생성하는 단계를 포함하는, 컴퓨터화된 시스템.
  12. 제1항 내지 제11항 중 어느 한 항에 있어서,
    상기 마스크는 다중 다이 마스크이고, 상기 결함 이미지들의 뱅크는 검사 다이에 위치된 상기 결함 후보에 대해 캡처되고, 상기 기준 이미지들의 뱅크는 기준 다이 내의 대응하는 위치로부터 캡처되는, 컴퓨터화된 시스템.
  13. 제1항 내지 제11항 중 어느 한 항에 있어서,
    상기 마스크는 단일 다이 마스크이고, 상기 결함 이미지들의 뱅크 및 상기 기준 이미지들의 뱅크는 유사한 설계 패턴을 공유하는 동일한 다이 내의 상이한 영역들로부터 취득되는, 컴퓨터화된 시스템.
  14. 제1항 내지 제11항 중 어느 한 항에 있어서,
    원래 결함 이미지를 제공하는 단계, 취득하는 단계, 결정하는 단계, 정렬하는 단계, 및 측정치를 제공하는 단계는 상기 마스크 또는 그의 일부 상의 결함 후보 분포를 나타내는 결함 맵으로부터 선택된 결함 후보들의 목록으로부터의 하나 이상의 추가적인 결함 후보에 대해 반복되는, 컴퓨터화된 시스템.
  15. 제1항 내지 제11항 중 어느 한 항에 있어서,
    상기 검사 툴은 상기 반도체 시편의 제조에 사용가능한 리소그래피 툴의 광학 구성을 에뮬레이팅하도록 구성된 화학선 검사 툴인, 컴퓨터화된 시스템.
  16. 반도체 시편을 제조하는 데 사용가능한 마스크를 검사하는 컴퓨터화된 방법으로서,
    상기 방법은 처리 및 메모리 회로(PMC)에 의해 수행되고, 상기 방법은:
    검사 툴로부터,
    결함 후보를 나타내는 하나 이상의 결함 픽셀을 포함하고 상기 마스크의 예비 검사로부터 초래되는 원래 결함 이미지, 및 상기 마스크 상의 상기 결함 후보의 위치; 및
    포커스 프로세스 윈도우 전체에 걸쳐 복수의 포커스 레벨들에서 상기 위치에 기초하여 취득된 기준 이미지들의 뱅크 및 상기 결함 후보의 결함 이미지들의 뱅크 - 상기 결함 이미지들의 뱅크는 각각의 포커스 레벨에서 취득된 결함 이미지들의 세트를 포함하고, 상기 기준 이미지들의 뱅크는 각각의 포커스 레벨에서 취득된 기준 이미지들의 세트를 포함함 - 를 획득하는 단계; 및
    상기 복수의 포커스 레벨들 중에서 최적 포커스를 결정하고, 상기 최적 포커스에서의 상기 결함 이미지들의 세트에 기초하여 복합 결함 이미지를 생성하는 단계;
    상기 하나 이상의 결함 픽셀에 대응하는 상기 복합 결함 이미지 내의 하나 이상의 타겟 픽셀의 영역을 식별하기 위해 상기 원래 결함 이미지를 상기 복합 결함 이미지와 정렬하는 단계; 및
    각각의 포커스 레벨에 대해, 상기 식별된 영역에 기초하여, 상기 포커스 레벨의 상기 기준 이미지들의 세트로부터 도출된 적어도 하나의 기준 이미지와 상기 결함 이미지들의 세트 사이의 변위를 나타내는 측정치를 제공함으로써, 상기 복수의 포커스 레벨들에 대응하는 복수의 측정치들을 생성하는 단계
    를 포함하는, 컴퓨터화된 방법.
  17. 제16항에 있어서,
    상기 검사 툴로부터 인쇄 임계치(PT)를 획득하는 단계를 더 포함하고, 상기 측정치를 제공하는 단계는 상기 포커스 레벨의 상기 기준 이미지들의 세트 및 상기 결함 이미지들의 세트에 상기 PT를 적용하고, 이진 결함 이미지들의 세트 및 이진 기준 이미지들의 세트를 생성하는 단계, 및 상기 이진 결함 이미지들의 세트 및 상기 이진 기준 이미지들의 세트에 기초하여 상기 측정을 수행하는 단계를 포함하는, 컴퓨터화된 방법.
  18. 제16항에 있어서,
    상기 정렬하는 단계는 상기 복합 결함 이미지에 포함된 패턴의 정합가능성을 검증하는 단계, 및 상기 검증에 기초하여 상기 복합 결함 이미지 내의 영역을 결정하는 단계를 더 포함하는, 컴퓨터화된 방법.
  19. 제18항에 있어서,
    상기 정합가능성의 검증은 이미지들의 시프트된 세트를 획득하기 위해 상기 패턴을 각각의 오프셋들을 갖는 방향들의 세트로 시프트하는 것, 상기 복합 결함 이미지와 상기 이미지들의 시프트된 세트 사이의 이미지 정합을 수행하는 것, 및 상기 이미지 정합의 결과에 기초하여 상기 정합가능성을 결정하는 것을 포함하는, 컴퓨터화된 방법.
  20. 제16항 내지 제19항 중 어느 한 항에 있어서,
    상기 마스크는 다중 다이 마스크이고, 상기 결함 이미지들의 뱅크는 검사 다이에 위치된 상기 결함 후보에 대해 캡처되고, 상기 기준 이미지들의 뱅크는 기준 다이 내의 대응하는 위치로부터 캡처되는, 컴퓨터화된 방법.
  21. 제16항 내지 제19항 중 어느 한 항에 있어서,
    상기 마스크는 단일 다이 마스크이고, 상기 결함 이미지들의 뱅크 및 상기 기준 이미지들의 뱅크는 유사한 설계 패턴을 공유하는 동일한 다이 내의 상이한 영역들로부터 취득되는, 컴퓨터화된 방법.
  22. 명령어들의 프로그램을 유형적으로 구현하는 비일시적 컴퓨터 판독가능 저장 매체로서,
    상기 명령어들은, 컴퓨터에 의해 실행될 때, 상기 컴퓨터로 하여금 제16항 내지 제21항 중 어느 한 항의 방법을 수행하게 하는, 비일시적 컴퓨터 판독가능 저장 매체.
KR1020230031114A 2022-03-14 2023-03-09 반도체 시편 제조를 위한 마스크 검사 KR20230134442A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
IL291350 2022-03-14
IL291350A IL291350B2 (en) 2022-03-14 2022-03-14 Mask testing for the production of semiconductor samples

Publications (1)

Publication Number Publication Date
KR20230134442A true KR20230134442A (ko) 2023-09-21

Family

ID=87202470

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020230031114A KR20230134442A (ko) 2022-03-14 2023-03-09 반도체 시편 제조를 위한 마스크 검사

Country Status (4)

Country Link
KR (1) KR20230134442A (ko)
CN (1) CN116754580A (ko)
IL (1) IL291350B2 (ko)
TW (1) TW202407638A (ko)

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8463016B2 (en) * 2010-02-05 2013-06-11 Luminescent Technologies, Inc. Extending the field of view of a mask-inspection image
US9311700B2 (en) * 2012-09-24 2016-04-12 Kla-Tencor Corporation Model-based registration and critical dimension metrology
DE102015213045B4 (de) * 2015-07-13 2018-05-24 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zur Positionsbestimmung von Strukturelementen einer photolithographischen Maske
US10572990B2 (en) * 2017-04-07 2020-02-25 Nuflare Technology, Inc. Pattern inspection apparatus, pattern position measurement apparatus, aerial image measurement system, method for measuring aerial image, pattern position repairing apparatus, method for repairing pattern position, aerial image data processing apparatus, method for processing aerial image data, pattern exposure apparatus, method for exposing pattern, method for manufacturing mask, and mask manufacturing system
US11270430B2 (en) * 2017-05-23 2022-03-08 Kla-Tencor Corporation Wafer inspection using difference images
KR102507304B1 (ko) * 2017-10-30 2023-03-07 삼성전자주식회사 결함 검사 방법 및 이를 이용한 반도체 소자의 제조 방법
US11348224B2 (en) * 2019-09-11 2022-05-31 Applied Materials Israel Ltd. Mask inspection of a semiconductor specimen

Also Published As

Publication number Publication date
IL291350B2 (en) 2023-06-01
TW202407638A (zh) 2024-02-16
IL291350A (ko) 2022-04-01
IL291350B1 (ko) 2023-02-01
CN116754580A (zh) 2023-09-15

Similar Documents

Publication Publication Date Title
US10572995B2 (en) Inspection method and inspection apparatus
US10451563B2 (en) Inspection of photomasks by comparing two photomasks
KR101768493B1 (ko) 마스크 검사 장치, 마스크 평가 방법 및 마스크 평가 시스템
US10074036B2 (en) Critical dimension uniformity enhancement techniques and apparatus
US7769225B2 (en) Methods and systems for detecting defects in a reticle design pattern
US7379175B1 (en) Methods and systems for reticle inspection and defect review using aerial imaging
JP4940056B2 (ja) リソグラフィマスク用の検査方法及び装置
US9875534B2 (en) Techniques and systems for model-based critical dimension measurements
CN109659245B (zh) 监测光掩模缺陷率的改变
JP2010515952A (ja) レチクル上のリソグラフィにおいて有意な欠陥を検出する方法
JP2016532902A (ja) マイクロリソグラフィパターン認定
US6023328A (en) Photomask inspection method and apparatus
KR20230134442A (ko) 반도체 시편 제조를 위한 마스크 검사
US20230080151A1 (en) Mask inspection for semiconductor specimen fabrication
US20230131950A1 (en) Mask inspection for semiconductor specimen fabrication
KR20230075369A (ko) 반도체 시편 제조를 위한 마스크 검사
US11727556B2 (en) Defect detection for multi-die masks