KR20010078114A - 실리콘 표면 세정에 대한 프로세스 및 장치 - Google Patents

실리콘 표면 세정에 대한 프로세스 및 장치 Download PDF

Info

Publication number
KR20010078114A
KR20010078114A KR1020010003907A KR20010003907A KR20010078114A KR 20010078114 A KR20010078114 A KR 20010078114A KR 1020010003907 A KR1020010003907 A KR 1020010003907A KR 20010003907 A KR20010003907 A KR 20010003907A KR 20010078114 A KR20010078114 A KR 20010078114A
Authority
KR
South Korea
Prior art keywords
silicon wafer
chamber
pressure
hydrogen gas
temperature
Prior art date
Application number
KR1020010003907A
Other languages
English (en)
Other versions
KR100784575B1 (ko
Inventor
알카디 사모일로브
데일알. 듀보이스
브래들리엠. 큐렐로프
파울비. 코미타
데이비드케이. 칼슨
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010078114A publication Critical patent/KR20010078114A/ko
Application granted granted Critical
Publication of KR100784575B1 publication Critical patent/KR100784575B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

실리콘 웨이퍼 표면 세정에 대하여 낮은 압력 및 높은 수소 유동율을 가지는 프로세스가 기술된다. 약 1 Torr 이하의 프로세스 압력과 최대 약 3 SLM까지의 수소 유동율의 조합은 약 800℃ 미만의 프로세스 온도에서 실리콘 웨이퍼 표면으로부터 거의 모든 산소 오염물을 제거한다. 각각의 프로세스 압력 및 유동율에서의 프로세싱 후에, 낮은 정도의 산소 오염은 프로세스 온도가 800℃ 미만으로 유지되더라도 프로세스 압력, 수소 유동율, 및 프로세스 온도의 증가에 의해 달성될 수 있다. 낮은 압력 및 높은 수소 유동율의 조합은 시간당 적어도 30 입방 미터의 진공 펌핑 속도를 사용하여 달성될 수 있다. 또한 본 발명은 본 발명의 프로세스 및 다른 프로세스가 적용될 수 있는 실리콘 웨이퍼 세정용 장치를 기술하고 있다.

Description

실리콘 표면 세정에 대한 프로세스 및 장치{PROCESS AND APPARATUS FOR CLEANING A SILICON SURFACE}
본 발명은 일반적으로 반도체 프로세싱에 관한 것으로, 특히 실리콘 표면의 세정에 대한 프로세스 및 장치에 관한 것이다.
실리콘 웨이퍼 상에 반도체 디바이스(semiconductor device)를 제작하는 것은 실리콘 표면 상에 에피택셜 실리콘 층(epitaxial silicon layer), 패시베이션 층(passivation layer), 절연층 등과 같은 다양한 전자적 재료 층을 형성하는 것과 관련이 있다. 반도체 디바이스의 성능은 형성된 층의 질에 의존하며, 이러한 층의 질은 프로세싱 환경 및 아래에 위치하는 실리콘 표면의 청결에 강하게 의존한다.
특히 실리콘 표면은 고유의 산화물에 의해 오염되기 쉽다. 고유의 산화물은 실리콘 표면이 대기중에 노출되는 때 형성되는 비-화학량론적인(non-stoichiometric) 실리콘 산화물이다. 디바이스의 제작 과정 동안, 예컨대 웨이퍼가 프로세싱 챔버 내로 적재되는 때, 이러한 노출은 피할 수 없이 발생한다. 제어되지 않은 대기 상태로부터 고유의 산화물은 형성되므로, 고유의 산화물은 웨이퍼마다 서로 다른 비율로 성장하는 경향이 있으며, 이는 디바이스가 형성되는 웨이퍼에 따라 디바이스 성능이 서로 다르게 되는 것을 야기한다. 또한, 고유의 산화물의 존재는 개개 디바이스의 전기적 특성을 간섭하여, 예컨대 디바이스 노이즈(device noise), 감소된 성능, 또는 심지어 전체 시스템의 파괴를 야기할 수있다.
웨이퍼에 대한 적절한 반도체 디바이스의 수율, 및 적절한 디바이스 성능을 달성하기 위하여, 실리콘 표면은 산소 및 탄소와 같은 오염물, 및 다른 불순물이 없어야 한다. 고유의 산화물 및 다른 오염물의 한가지 제거 방법은 전자적 재료의 층이 형성 또는 증착되기 직전에, 높은 온도, 예컨대 1000℃보다 더 높은 온도에서 베이킹(baking)하는 것이다. 일반적으로, 웨이퍼는 연속적인 증착 단계가 수행되는 동일한 챔버 내에서 베이킹된다. 그러나, 이러한 베이킹 방법은 일반적으로 디바이스를 가지는 웨이퍼에는 사용될 수 없다. 왜냐하면, 최상부의 반도체 디바이스는 이러한 고온을 견딜수 없기 때문이다.
베이킹 온도를 낮추기 위하여, 몇몇 세정 방법에서는 고유의 산화물 또는 다른 오염물을 제거하는 것을 돕기 위하여 SiH4, 또는 Si2H6, 또는 GeH4, 또는 NF3와 같은 반응 가스를 챔버 내로 주입한다. 이러한 반응 가스는 베이킹 온도를 950℃ 이하로 감소시킨다. 그러나, 이러한 반응 가스는 고유의 산화물 층을 제거할 뿐만 아니라 웨이퍼 상의 다른 층 및 디바이스도 손상시킬 수 있다. 이러한 반응 가스의 사용은 챔버 내에서 세정될 웨이퍼를 오염시키는 잔류물을 남길 수 있다. 또한 몇몇 반응 가스는 챔버벽을 손상시킬 수도 있다. 따라서 챔버는 잔류물을 제거하기 위한 주기적인 세정 및 보수가 필요하며, 웨이퍼 세정 프로세스 중에 발생한 임의의 부식을 수리할 필요가 있다.
본 발명의 일 관점은 실리콘 표면의 세정 프로세스를 제공하는 것이다. 일 실시예에서, 프로세스는 실리콘을 챔버 내로 전달하는 단계, 및 수소 가스가 실리콘 웨이퍼의 표면을 가로질러 유동할 때 약 800℃ 이하의 프로세스 온도와 약 1 Torr 미만의 프로세스 압력에서 실리콘 웨이퍼를 유지하는 단계를 포함한다.
다른 관점에서, 본 발명은 실리콘 웨이퍼의 표면 세정용 장치를 제공한다. 일 실시예에서, 장치는 석영으로 구성된 표면에 의해 형성된 챔버를 구비한 하우징을 포함한다. 리플렉터(reflector)는 챔버의 외부에 위치한다. 서셉터(susceptor)는 챔버 내에 위치하며 실리콘 웨이퍼의 제 1 표면이 리플렉터와 대향하도록 실리콘 웨이퍼를 수용한다. 히터는 실리콘 웨이퍼의 제 2 표면 쪽으로만 열을 복사하도록 설치된다. 입구 포트로부터 주입된 가스가 실리콘 웨이퍼의 제 1 표면을 가로질러 진공 포트 쪽으로 유동하도록 입구 포트 및 진공 포트는 상호 대향하게 위치한다.
본 발명은 첨부된 도면의 실시예를 통하여 예시적으로 도시되며, 이는 본 발명을 제한하는 것이 아니며, 유사한 도면 부호는 유사한 부품을 지시한다.
도 1은 다중-챔버-집합(multi-chamber-clustered)의 반도체 프로세싱 시스템을 도시하고 있다.
도 2는 본 발명에 의한 프로세스의 일 실시예를 도시하는 순서도이다.
도 3은 본 발명에 의한 세정 후에 실리콘 표면 상의 계면 산소 오염물의 농도를 도시하는 그래프이다.
도 4a 및 4b는 실리콘 웨이퍼 상의 계면 산소 오염물 농도를 4개의 프로세스 압력 및 이에 상응하는 4개의 수소 유동율에서 온도의 함수로 도시하고 있다.
도 5는 본 발명에 의한 프로세스의 다른 실시예를 도시하는 순서도이다.
도 6은 본 발명에 의한 세정 후에 실리콘 웨이퍼 상에 남아있는 계면 오염물 농도를 웨이퍼 깊이의 함수로 도시하는 그래프이다.
도 7a는 본 발명에 의한 실리콘 웨이퍼 세정용 장치의 일 실시예의 단면도이다.
도 7b는 본 발명의 세정 장치 및/또는 기구 프로세스를 제어하는데 사용될수 있는 시스템 제어 컴퓨터 프로그램을 도시하고 있다.
본 발명은 실리콘 표면의 세정에 대한 방법 및 장치를 제공한다. 본 발명의 방법 및 장치는 도 1에서 도시된 것과 유사한 다중-챔버-집합의 반도체 프로세싱 집합에 통합될 수 있다. 이러한 일 시스템은 캘리포니아 산타클라라의 어플라이드 머티어리얼스 인코포레이티드(Applied Materials, Inc. in Santa Clara, California)의 제품명 센츄라(Centura®)에 의해 제공될 수 있다. 비록 이하에서는 본 발명의 다중-챔버-집합의 시스템에 관하여 기술되지만, 당업자는 본 발명의 방법 및 장치가 이러한 시스템의 사용에 제한되지 않는다는 것을 인지하고 있다.
도 1은 다중-챔버-집합의 시스템(10)의 일 실시예를 도시하고 있으며, 여기에서 실리콘 웨이퍼는 진공의 중단 없이 하나의 단일-웨이퍼 프로세싱 챔버로부터 다른 챔버로 전달될 수 있다. 중앙 로드-락 시스템(central load-lock system;20)은 2개의 로드-락(21, 23)을 포함하며, 이들 각각은 진동 펌프(24)에 의해 소개된다. 실리콘 웨이퍼는 로드락(21) 중의 하나 내로 적재된 후 로드락은 소개되며, 그 다음에 웨이퍼는 중앙 전달 챔버(central transfer chamber;25) 내로 전달된다. 전달 챔버(25)는 일반적으로 100 Torr 또는 그 이하의 건 질소(dry N2)의 건조하게 소개된 환경 상태를 일정하게 유지하며, 웨이퍼를 일 프로세싱 시스템에서 다른 프로세싱 시스템으로 이동시키기 위하여, 로보틱 아암(robotic arm)과 같은 장비를 포함한다.
다중-챔버-집합의 시스템은 전달 챔버(25) 주위에 설치되고 전달 챔버(25)에 접속된 다수의 단일-웨이퍼 프로세싱 챔버(31, 32, 33, 34)를 구비한다. 마스킹(masking), 에칭(etching), 증착 등과 같은 제작 공정은 각각의 프로세싱 챔버 내에서 수행된다. 웨이퍼는 일 프로세싱 챔버 내에서 프로세스되고, 그 다음에 다음의 프로세싱 챔버로 전달되는 전달 챔버(25) 내로 전달된다. 웨이퍼가 일련의 프로세싱 챔버(31, 32, 33, 34)를 통과하여 프로세스된 후에, 웨이퍼는 전달 챔버(25)에 의해 로드-락(21, 23) 중의 어느 한쪽에 전달된다. 몇개의 웨이퍼는 다른 챔버에서 동시에 프로세스될 수 있다(챔버당 하나의 웨이퍼). 따라서 다중-챔버-집합 시스템(10)을 통해 연속적으로 프로세스되어 웨이퍼의 작업 처리량은 증가한다.
본 발명의 일 관점에 의한 프로세스는 낮은 압력과 높은 수소 유동율의 환경에서 실리콘 웨이퍼의 표면을 세정하는 것을 포함한다. 발명자들은 낮은 압력(고속 펌핑을 이용하여 달성될 수 있음)을 유지하는 한편 수소 유동율을 최대화하면 상대적으로 낮은 온도에서 반응 가스의 사용 없이도 고유의 산화물 및 다른 오염물을 제거할 수 있다는 것을 발견하였다. 낮은 수소 유동율에서의 낮은 압력의 사용, 또는 높은 수소 유동율에서의 높은 압력의 사용은 낮은 압력 및 높은 수소 유동율의 조합이 수행하는 만큼의 낮은 온도에서의 청정성과 동일한 수준을 달성할 수 없다. 많은 경우에, 본 발명의 프로세스는 현재의 이용 가능한 해석적인 방법을 이용하여 감지된 산소, 탄소, 질소, 염소, 및 불소와 같은 거의 모든 계면 오염물을 제거할 수 있으며, 따라서 실리콘 웨이퍼 표면은 거의 오염물이 없게 된다.
본 발명에 의한 프로세스의 일 실시예가 도 2의 순서도에 도시되어 있다. 실리콘 웨이퍼가, 예컨대 로드락(21) 중의 하나 내로 적재되기 전에, 그리고 40의 프로세스 상태가 시작되기 전에, 선행 기술에서 공지된 바와 같이, 일반적으로 실리콘 웨이퍼는 수소 불화물(hydrogen fluoride;HF) 용액에 담금(dipping), 린싱(rinsing), 및 건조에 의해 전-처리(pre-treat)된다.
본 발명에 의한 프로세스는 단계 40에서 시작하여 실리콘 웨이퍼를, 예컨대 전달 챔버(25)로부터 프로세싱 챔버(예컨대, 31, 32, 33, 또는 34) 내로 단계 41에서 전달한다. 프로세싱 챔버는 증착 챔버 또는 다른 프로세싱 챔버일 수 있으며,여기에서 실리콘 웨이퍼는 웨이퍼가 세정된 후에 프로세스되며, 또는 프로세싱 챔버는 펌프(22)에 연결된 전용의 세정 챔버, 예컨대 31일 수 있다. 실리콘 웨이퍼는 프로세싱되지 않은 웨이퍼일 수 있으며, 또는 표면이 노출된 실리콘을 구비한 반-프로세싱(semi-processed)된 웨이퍼일 수 있다. 따라서, "실리콘 웨이퍼"는 제한 없이 불순물이 첨가된(doped) 및 불순물이 첨가되지 않는(undoped) 실리콘, 단결정 또는 에피택셜 성장된 실리콘(epitaxially grown silicon), 및 디바이스(device), 회로 연결(interconnects), 접합(junctions), 층(layers), 윈도우(windows), 패턴(patterns), 또는 다른 전자적 피쳐를 구비한 웨이퍼 실리콘을 포함할 수 있다.
일 실시예에서, 실리콘 웨이퍼가 세정되는 프로세스 온도 보다 낮은 온도에서 실리콘 웨이퍼는 프로세싱 챔버 내로 전달될 수 있다. 발명가들은 낮은 온도에서의 실리콘 웨이퍼의 전달은 유리하게도 실리콘 웨이퍼 표면이 세정되는데 필요한 시간을 감소시킴을 관찰하였다. 일반적으로 실리콘 웨이퍼는 약 600℃ 미만의 낮은 전달 온도, 일반적으로 약 450℃에서 약 600℃ 사이의 온도의 프로세싱 챔버 내로 전달된다.
일반적으로, 실리콘 웨이퍼가 세정되는 프로세스 압력 보다 높은 압력에서 실리콘 웨이퍼는 프로세싱 챔버 내로 전달된다. 일반적으로, 실리콘 웨이퍼가 챔버 내로 전달되는 압력은 약 100 Torr 미만, 약 20 Torr에서 약 100 Torr의 범위 내이다.
실리콘 웨이퍼가 프로세싱 챔버 내로 전달된 후, 단계 42에서 프로세싱 챔버는 약 1 Torr 미만의 프로세스 압력으로 소개된다. 실리콘 웨이퍼가 세정되는 동안 프로세스 압력은 챔버 내의 전압력이다. 일반적으로, 프로세스 압력은 약 0.1 Torr 에서 약 1 Torr 사이이다. 일 실시예에서, 프로세스 압력은 약 0.2 Torr에서 약 0.5 Torr 사이이다. 다른 실시예에서, 프로세스 압력은 10 μTorr 미만의, 일반적으로 약 1 μTorr와 약 5 μTorr 사이의 산소 및 물의 부분 압력(partial pressure)을 포함한다.
단계 43에서, 수소 가스는 분당 약 3 표준 리터(standard liters per minute;SLM) 까지의 유동율로 약 30 리터의 챔버 체적까지 실리콘 웨이퍼의 표면 위를 유동한다. 본 발명에 의하면, 프로세스 압력이 약 1 Torr 미만으로 유지되는 동안 수소의 유동율을 최대화하는 것은 바람직하다. 현재의 이용 가능한 펌프를 사용하여 약 1 Torr 미만의 프로세스 압력을 유지하는 동안에 실제로 얻을 수 있는 수소 가스의 최대 유동율은 약 3 SLM으로 관찰된다. 높은 펌핑 속도의 진공 시스템을 사용할수록, 높은 최대 유동율이 가능할 수 있다. 현재의 실시예에서, 약 0.03 SLM에서 약 3 SLM 사이의 수소 가스 유동율이 사용된다. 다른 실시예에서, 약 0.3 SLM의 유동율이 사용된다.
상기 기술된 바와 같이, 약 1 Torr 미만의 프로세스 압력을 유지하는 동안 높은 펌핑 속도는 높은 수소 유동율을 얻기 위하여 사용된다. 시간당 약 30 입방 미터를 초과하는 펌핑 속도는 본 발명의 프로세스에서 효과적인 것으로 발견된다. 일반적으로, 시간당 약 30 입방 미터에서 시간당 약 200 입방 미터 사이의 펌핑 속도가 사용된다. 일 실시예에서, 약 100 입방 미터의 펌핑 속도는 세정 성능과 비용 간의 훌륭한 균형을 제공하는 것으로 발견된다.
단계 44에서, 실리콘 웨이퍼는 약 800℃ 이하의 프로세스 온도로 가열된다. 일반적으로, 프로세스 온도는 약 700℃에서 약 800℃ 사이이다. 일 실시예에서, 프로세스 온도는 약 750℃에서 약 775℃ 사이이다. 다른 실시예에서, 프로세스 온도는 약 770℃이다.
일 실시예에서, 프로세싱 챔버가 프로세스 압력으로 소개된 후, 바로 실리콘 웨이퍼는 프로세스 온도로 가열된다. 이는 웨이퍼 전달 중에 챔버 내로 들어가는 오염물이 제거되도록 한다.
단계 45에서, 거의 모든 계면의 산화 오염물이 제거될 때 까지 수소 가스가 실리콘 웨이퍼의 표면을 가로질러 유동하는 동안에 실리콘 웨이퍼는 상기 프로세스 온도와 상기 프로세스 압력에서 유지된다. 일반적으로, 수소 가스가 표면을 가로질러 유동하는 동안에 실리콘 웨이퍼는 약 5 분 미만 동안 프로세스 온도와 프로세스 압력에서 유지된다. 일 실시예에서, 수소 가스가 표면을 가로질러 유동하는 동안에 실리콘 웨이퍼는 약 1 분에서 약 3 분 동안 프로세스 온도와 프로세스 압력에서 유지된다. 다른 실시예에서, 수소 가스가 표면을 가로질러 유동하는 동안에 실리콘 웨이퍼는 약 2 분 동안 프로세스 온도와 프로세스 압력에서 유지된다. 입방 센티미터당 약 1×1018원자 미만의 산화 오염 정도가 상기 기술된 프로세스에서 달성될 수 있다.
프로세스는 수소 유동이 정지되는 지점인 46에서 종료된다. 실리콘 웨이퍼가 프로세싱 챔버 내에서 더 프로세싱 된다면, 압력 및 온도는 다음 프로세싱 단계에 대해 조절된다. 실리콘 웨이퍼가 프로세싱 챔버로부터 밖으로 전달되어야 하는 경우, 웨이퍼는 전달 온도로 복귀되고, 프로세싱 챔버는 전달 압력으로 복귀되며(일반적으로 질소 가스의 주입으로), 그 다음에 실리콘 웨이퍼는 프로세싱 챔버 밖으로, 예컨대 전달 챔버 내로 전달된다.
도 3은 본 발명의 프로세스에 의한 세정 후에 3개의 다른 펌핑 속도에서 프로세스 압력에 대한 실리콘 웨이퍼 표면 상에 남아있는 계면 산소의 오염물의 농도를 도시하고 있다. 놀랍게도, 본 발명자들은 어떤 프로세스 압력 이하에서는, 계면 산소 농도가 압력이 감소함에도 계속 감소하지 않고, 펌핑 속도에 상관 없이 실제로 증가함을 관찰하였다. 도 3에서 도시된 바와 같이, 계면 산소 농도는 약 0.1 Torr에서 1 Torr 사이의 프로세스 압력에서 최소가 된다. 약 0.3 Torr 미만의 프로세스 압력에서, 계면 산소 농도는 증가한다. 프로세스 압력이 약 0.01 Torr인 경우에도, 계면 산소 농도 정도는 약 0.3 Torr의 프로세스 압력에서 얻을 수 있는 것보다 높다.
도 4a 및 4b는 본 발명의 프로세스에 의한 세정 후에 4개의 다른 프로세스 압력에서 프로세스 온도(및 이에 상응하는 4개의 수소 유동율)에 대한 실리콘 웨이퍼 표면 상에 남아있는 산소 및 탄소의 오염물의 농도를 각각 도시하고 있다. 도 4a 및 4b의 그래프와 같이, 약 750℃에서, 가장 낮은 산소 및 탄소 오염물이 약 1 Torr 미만의 프로세스 압력에서 관찰된다. 프로세스 온도가 약 750℃에서 780℃까지 증가함에 따라, 약 1 Torr보다 적은 프로세스 압력에서 얻어진 산소 및 탄소의오염물은 대략 같은 수준으로 유지된다.
그러나, 보다 높은 프로세스 압력에서, 프로세스 온도의 증가는 산소 및 탄소 오염물의 현저한 감소를 야기한다. 약 780℃에서, 약 1 Torr 미만의 프로세스 압력 보다 1 Torr 이상의 프로세스 압력, 특히 약 10 Torr 이상의 압력에서 낮은 산소 및 탄소 오염 정도가 관찰된다. 산소의 경우에, 780℃, 약 0.4 Torr(약 0.6 SLM의 수소 유동율)내지 약 14 Torr(12 SLM 수소)의 프로세스 압력 사이에서 오염 정도의 2 배에서 3 배의 감소가 관찰된다. 탄소의 경우에, 같은 범위에서 오염 정도의 3 배에서 4 배의 감소가 관찰된다.
도 5는 관찰된 오염 정도의 감소를 이용한 본 발명에 의한 프로세스의 실시예를 도시하고 있다. 이러한 실시예의 단계 50 내지 53은 도 2를 참조하여 상기 기술된 실시예의 단계 40 내지 45와 유사하다. 프로세스는 단계 50에서 시작하여, 단계 51에서는 예컨대, 전달 챔버로부터 실리콘 웨이퍼를 프로세스 챔버로 전달한다. 일반적으로, 실리콘 웨이퍼는 상기 기술된 바와 같이 프로세스 챔버 내로 전달되기 전에 수소 불화물(HF)에 담금, 연속하는 린싱 및 건조 과정에 의해 전-처리된다.
실리콘 웨이퍼가 프로세스 챔버 내로 전달된 후에, 챔버는 제 1 프로세스 압력(P1)으로 소개되며, 수소 가스는 제 1 유동율(R1)에서 실리콘 웨이퍼의 표면 상을 유동하며, 그리고 웨이퍼는 단계 52에서 제 1 프로세스 온도(T1)로 가열된다. 제 1 프로세스 압력은 약 1 Torr 미만이며, 유리하게는 제 1 프로세스 압력이 약 1 Torr미만으로 유지되는 동안에 제 1 유동율은 최대화된다. 현재의 이용 가능한 진공 펌프에서, 일반적으로 제 1 유동율은 약 3 SLM 이하이다. 제 1 프로세스 온도는 약 800℃ 이하이며, 일반적으로 약 770℃ 이하이다. 일 실시예에서, 제 1 프로세스 온도는 약 750℃이다.
수소 가스가 약 5분 미만으로, 일반적으로는 약 3분 미만 동안 실리콘 웨이퍼의 표면을 가로질러 제 1 유동율에서 유동하는 동안에, 단계 53에서 실리콘 웨이퍼는 제 1 프로세스 압력 및 제 1 프로세스 온도를 유지한다. 단계 53은 입방 센티미터당 약 1×1018원자 보다 적은 산소 오염 정도를 달성할 수 있다. 그러나, 계면 산소의 더 낮은 농도를 달성하는 것이 바람직할 수 있다.
단계 54에서, 챔버 압력은 제 1 프로세스 압력(P1)보다 큰 제 2 프로세스 압력(P2)까지 증가하며, 실리콘 웨이퍼는 제 1 프로세스 온도(T1) 보다 큰 제 2 프로세스 온도(T2)까지 증가한다. 제 2 프로세스 압력은 약 1 Torr를 초과하며, 일반적으로 약 10 Torr 에서 100 Torr 사이의 범위에 있다. 일 실시예에서, 제 2 프로세스 압력은 약 25 Torr이다. 높은 프로세스 압력(P2)은 수소 가스가 제 1 유동율(R1) 보다 큰 제 2 유동율(R2)에서 프로세스 챔버를 통과하여 유동하도록 한다. 제 2 프로세스 압력이 유지되는 동안에 제 2 유동율을 최대로 하는 것이 바람직하다. 제 2 유동율은 약 3 SLM을 초과하며, 일반적으로 약 10 SLM에서 50 SLM 사이의 범위를 가진다. 일 실시예에서, 제 2 유동율은 약 20 SLM이다.
상기 언급된 바와 같이, 높은 프로세스 압력(및 이에 상응하는 높은 수소 유동율)에서, 프로세스 온도의 증가는 산소 및 탄소 오염 정도의 상당한 감소를 야기할 수 있다. 웨이퍼 온도는 제 1 프로세스 온도(T1) 보다 높은 제 2 프로세스 온도(T2)까지 증가하나, 약 800℃를 초과하지는 않는다. 일반적으로 제 2 프로세스 온도는 약 775℃에서 약 800℃ 사이이다. 제 1 프로세스 온도가 약 750℃인 일 실시예에서, 제 2 프로세스 온도는 약 780℃이다.
단계 55에서 수소 가스가 약 3 분 이하 동안 실리콘 웨이퍼의 표면을 가로질러 제 2 유동율에서 유동하는 경우에 실리콘 웨이퍼는 제 2 프로세스 압력 및 제 2 프로세스 온도로 유지된다. 일 실시예에서, 수소 가스가 약 2 분 동안 제 2 유동율에서 유동하는 경우에 실리콘 웨이퍼는 제 2 프로세스 압력 및 제 2 프로세스 온도로 유지된다. 단계 55 이후에, 입방 센티미터당 약 5×1017원자 미만의 산소 오염 정도와, 입방 센티미터당 약 2×1017원자 미만의 탄소 오염 정도가 달성될 수 있다.
본 발명의 프로세스에 단계 54 및 55를 통합함으로써 적어도 단계 50 내지 53(또는 도 2를 참조하여 상기 기술된 실시예)이 단독으로 있는 것보다 더욱 신속하게 동일한 오염 제거의 정도를 달성할 수 있다. 일 실시예에서, 수소 가스가 약 1 분 동안 실리콘 웨이퍼의 표면을 가로질러 제 1 유동율(R1)에서 유동하는 경우에 실리콘 웨이퍼는 제 1 프로세스 압력(P1) 및 제 1 프로세스 온도(T1)로 유지된다.그 다음에, 수소 가스가 약 1 분 동안 실리콘 웨이퍼의 표면을 가로질러 제 2 유동율(R2)에서 유동하는 경우에 실리콘 웨이퍼는 제 2 프로세스 압력(P2) 및 제 2 프로세스 온도(T2)로 유지된다. 이러한 실시예는 약 2 분 내에 거의 모든 계면 산소 및 탄소 오염물을 제거한다.
프로세스는 수소 유동이 정지되는 56에서 종료한다. 실리콘 웨이퍼가 프로세싱 챔버 내에서 더 프로세싱되는 경우에, 압력 및 온도는 다음의 프로세싱 단계를 위하여 조절된다. 실리콘 웨이퍼가 프로세싱 챔버로부터 밖으로 전달되어야 하는 경우, 웨이퍼는 전달 온도로 복귀되고, 프로세싱 챔버는 전달 압력으로 복귀되며(일반적으로 질소 가스의 주입으로), 그 다음에 실리콘 웨이퍼는 프로세싱 챔버 밖으로, 예컨대 전달 챔버 내로 전달된다.
도 6은 본 발명의 프로세스에 의한 세정 후에, 2차 이온 질량 분석기(secondary ion mass spectroscopy;SIMS)에 의해 감지된, 실리콘 표면 상에 남아있는 산소, 탄소, 질소, 염소, 및 불소 오염물의 농도 수준을 웨이퍼 깊이의 함수로 도시하고 있다. 도 6의 그래프는 몇몇 오염물에 대해 현재 이용 가능한 SIMS가 감지할 수 없는 오염 정도를 본 발명의 프로세스가 달성할 수 있음을 도시하고 있다. 현재의 이용 가능한 SIMS의 감지 한계에서, 본 발명의 프로세스는 거의 모든 계면 산소, 탄소, 질소, 염소, 및 불소 오염물을 실리콘 웨이퍼 표면으로부터 제거할 수 있다.
본 발명의 프로세스는 반응 가스의 사용 없이도 실리콘 표면의 낮은 세정 온도를 제공하여, 이러한 반응 가스가 생성시킬 수 있는 잔여물로부터의 오염 위험을 제거한다. 또한, 웨이퍼 세정 중에 이러한 반응 가스에 의해 발생하는 챔버 또는 웨이퍼 상의 디바이스의 손상 위험을 제거한다. 본 발명에 의한 프로세스는 실리콘 웨이퍼의 임의의 형태의 표면을 세정하도록 사용될 수 있다. 본 발명에 의해 세정된 후에, 실리콘 웨이퍼는 당업자에게 공지된 다양한 프로세싱 방법에 의해 프로세싱될 수 있다.
상기 언급된 바와 같이, 본 발명의 세정 프로세스는 전자적 재료의 증착과 같은 부가의 프로세싱 단계가 수행되는 챔버와 동일한 챔버에서 수행될 수 있다. 그러나, 바람직하게는 상기 세정 프로세스는 전용의 세정 챔버에서 수행된다. 전용 세정 챔버는 다른 프로세싱 단계로부터의 잔여물이 세정 프로세스 중에 실리콘 웨이퍼 표면을 오염시키는 위험을 감소시킨다. 본 발명에 의해 제공된 프로세스가 사용되는 때, 세정 챔버 내로 가스가 주입되지 않으며, 이로 인해 챔버를 유지하는 것과 관련된 시간과 비용을 감소시킬 수 있다. 전용 세정 챔버의 사용은 도 1에서 도시된 것과 같은 다중-챔버-집합의 시스템을 통하여 프로세싱되는 실리콘 웨이퍼의 처리량을 증가시킨다.
도 7a는 본 발명의 다른 관점에 의해 실리콘 웨이퍼의 표면을 세정하는 전용 장치의 일 실시예를 도시하고 있다. 본 세정 장치는 바람직하게는 다수의 단일-웨이퍼 프로세싱 챔버 중의 하나로서 다중-챔버-집합의 시스템으로 통합될 수 있다. 본 세정 장치의 발명적 특성은 다중-챔버 집합의 시스템에서 사용되지 않는 세정 장치로 통합될 수 있다는 것이다. 본 발명의 세정 장치와 이의 장점은 본 발명의프로세스에 관하여 기술된다. 그러나, 당업자는 다른 프로세스가 본 발명의 세정 장치에 적용될 수 있다는 것을 인지한다.
도 7a에 도시된 세정 장치(100)의 실시예는 하우징(110), 상부 석영 돔(upper quartz dome;122), 하부 석영 돔(124), 석영 라이닝(quartz lining;126), 챔버(120) 내에 위치하고 실리콘 웨이퍼를 수용하도록 설치된 서셉터(130), 챔버(120)의 외부에 위치한 리플렉터(112), 본 실시예에서 램프 모듈 또는 다수의 램프로 도시된 히터(150), 가스 탱크(141)를 지나서 도 7a에 도시된 가스 공급관을 향하는 입구 포트(140), 및 챔버(120)를 소개하기 위하여 진공 시스템(160)을 향하는 진공 포트(142)를 포함한다. 세정 장치(100)는 가스 유동, 프로세스 온도, 및 프로세스 압력과 같은 세정 장치의 다양한 공정을 제어하는 시스템 제어기(200)를 포함한다.
본 발명의 프로세스가 사용됨에 따라, 실리콘 웨이퍼(132)는 먼저 예컨대, 전달 챔버(도시되지 않음)로부터 챔버(120) 내로 전달되어, 실리콘 웨이퍼의 제 1 표면(134)이 리플렉터(112)와 대향하고 제 2 표면(134)이 서셉터(130)와 접촉하도록 서셉터(130) 상에 위치하게 된다. 상기 언급된 바와 같이, 제 1 표면(134)은 세정되는 실리콘 웨이퍼의 표면이다.
진공 시스템(160)은 진공 포트(142)를 통하여 챔버(120)를 소개한다. 진공 포트(142)는 화살표로 지시된 바와 같이 챔버 내로 주입된 수소 가스가 입구 포트(140)로부터 제 1 표면(134)을 가로질러 진공 포트(142)로 유동하도록 입구 포트(140)와 대향하도록 위치한다. 이러한 방법에서 필요한 밀봉이 줄어들므로 실리콘 웨이퍼 표면을 가로 지르는 가스 유동에서 챔버의 누설 방지는 개선된다.
진공 시스템(160)은 전달 챔버 및/또는 다른 단일-웨이퍼 프로세싱 챔버를 소개하는 다중-챔버-집합의 시스템에서 사용되는 진공 시스템과 동일할 수 있으며, 또는 전용의 세정 장치로 작동하는 분리된 진공 시스템일 수 있다.
일 실시예에서, 진공 시스템(160)은 챔버 근방 또는 사용 지점 근방에 위치하는 진공 펌프를 포함하며, 따라서 진공 시스템의 컨덕턴스 및 실리콘 웨이퍼 표면을 가로지르는 가스 유동율은 최대화될 수 있다. 본 발명에 따라서 어떤 형태의 진공 펌프라도 사용될 수 있다. 일반적으로, 증가된 컨덕턴스는 진공 포트에 대하여 진공 펌프를 연결시키는 진공 라인 길이의 최소화 및/또는 진공 라인 직경의 증가에 의해 달성될 수 있다. 그러나, 진공 라인 직경의 증가는 기체의 누설을 증가시켜 오염의 위험성을 증가시키며, 또한 진공 시스템의 비용을 증가시킨다. 유리하게는, 3 피트 미만의 진공 라인이 진공 펌프를 진공 포트에 결합시키기 위해 사용된다. 일 실시예에서, 시간당 약 100 입방 미터의 펌핑 속도를 구비한 기계적 건조 펌프는 2 인치 직경의 약 1 내지 3 피트의 진공 라인에 의해 챔버에 연결되며 교축 밸브가 완전히 개방됨으로서 작동한다.
일반적으로 상부 및 하부 표면으로부터 웨이퍼를 향해 열을 방사하는 프로세싱 챔버와 다르게, 본 세정 장치(100) 내에서의 히터(150)는 하부 석영 돔(124)을 통과하여 실리콘 웨이퍼의 한쪽면(여기에서 제 2 표면(136)으로 도시됨)으로 향하게 열을 복사하도록 설치된다. 리플렉터(112)는 다른쪽 표면(여기에서 제 1 표면(134)을 도시됨)으로 열을 반사시킨다. 리플렉터에 의한 한쪽면의 가열은 웨이퍼 상에 더욱 더 균일한 온도를 제공한다(예컨대, 약 ±1.5℃의 편차가 관찰됨). 부가적으로, 리플렉터에 의한 한쪽면의 가열은 다른 형태와 특징을 구비한 웨이퍼에서 일반적으로 관찰되는 가열의 편차가 감소한다는 점에서 웨이퍼 간에 보다 재현 가능한 가열을 제공한다. 대향하는 양 표면에서 웨이퍼의 한쪽 표면의 가열은 세정 장치의 설계 및 공정 비용을 감소시킨다.
일 실시예에서, 히터(150)는 서셉터(130) 아래에 위치하는 램프 모듈, 또는 다수의 램프를 포함한다. 할로겐 램프와 같은 임의의 형태의 램프가 사용될 수 있다. 저항식 가열 부품과 같이 당업자에게 공지된 다른 가열 부품이 본 발명에 의한 히터(150)로서 사용될 수 있다.
일 실시예에서, 리플렉터(112)는 실리콘 웨이퍼(132)의 제 1 표면(134)과 대향하는 세정 장치(100)의 표면 상에 금도금(gold plating)을 포함할 수 있다. 다른 실시예에서, 챔버(120)의 상부는 히터(150)의 단-파장 복사로부터 보호되어, 실리콘 웨이퍼(132)의 온도는 실리콘 웨이퍼 바로 위에 위치하는 고온계(170)에 의해 패턴된 웨이퍼의 경우에도 정확하게 측정될 수 있다.
유리하게는 서셉터(130)는 불투명하여, 히터(150)로부터의 열을 흡수하여 접촉된 실리콘 웨이퍼의 제 2 표면(136)에 열을 전달한다. 서셉터(130)는 고체의 실리콘 카바이드, 흑연이 코팅된 실리콘 카바이드, 또는 이러한 용도로 선행 기술에서 공지된 임의의 다른 재료로 만들어질 수 있다. 일 실시예에서, 서셉터(130)는 챔버 내에서 웨이퍼(132)를 회전시키는 모터(도시되지 않았음)에 연결되어, 실리콘 웨이퍼의 제 1 표면(134)은 입구 포트(140)로부터 유동하는 가스에 더욱 균일하게노출될 수 있다.
다른 실시예에서, 서셉터(130)는 회전 기구를 가지지 못하며, 입구 포트(140)는 가스가 평면의 모든 제 1 표면(134)을 가로질러 유동하는 슬릿을 형성한다. 리플렉터에 의한 일-측면의 가열 구조가 실리콘 웨이퍼의 표면을 가로 지르는 상대적으로 균일한 가열을 제공하므로, 히터에 대한 균일한 노출을 위해 웨이퍼의 회전이 제공될 필요가 없다. 모터 및 다른 회전 하드웨어의 제거는 본 발명의 세정 장치의 설계 및 유지를 단순화 시킨다.
챔버(120)를 형성하는 모든 표면은 석영을 포함한다. 석영은 실리콘 웨이퍼를 오염시키는 챔버 표면으로부터의 가스 누출의 위험을 최소화하는 바람직한 금속이다. 일 실시예에서, 챔버(120)를 형성하는 표면은 가스 누출이 낮은 석영으로 구성된다. 다른 실시예에서, 챔버(120)를 형성하는 표면은 상부 석영 돔(122), 하부 석영 돔(124), 및 석영 라이닝(126)을 포함한다.
도 7a에 도시된 세정 장치의 실시예는 컴퓨터로 판독 가능한 매체(210) 및 프로세서(220)를 구비하는 시스템 제어기(200)를 포함한다. 프로세서(220)는 단일 보드 컴퓨터(single board computer;SBC), 아날로그 및 디지털 입력/출력 보드, 인터페이스 보드 및 스테퍼 모터 제어 보드(도시되지 않음)를 포함한다. 본 발명의 세정 장치의 다양한 부품은 보드, 카드 케이지(card cage), 및 커넥터 크기 및 형태를 정의하는 베사 모듈러 유러피언(Versa Modular Europeans;VME) 표준 규격을 따른다. 또한 VME 표준은 16-비트 데이터 버스 및 24-비트 어드레스 버스를 가지는 버스 규격을 정의한다.
시스템 제어기(200)는 세정 장치(100)의 모든 공정을 제어한다. 시스템 제어기는 컴퓨터 프로그램이 저장된 시스템 제어 소프트 웨어 또는 컴퓨터-판독 가능한 매체(210)에 의해 전달되는 시스템 제어 소프트 웨어를 수행한다. 컴퓨터-판독 가능한 매체(210)는 컴퓨터에 의해 판독 가능한 형태로 정보를 저장하고 전달하는 임의의 기구를 포함하며, 임의의 제한 없이 메모리; 자기 디스크 저장 매체; 광학 저장 매체; 전기적, 광학적, 음향학적, 또는 다른 형태의 전파 신호; 및 이와 유사한 것을 포함한다. 컴퓨터 프로그램은 시간, 가스 유동율, 프로세스 압력, 프로세스 온도, 히터 전력 수준, 서셉터 위치, 및 특정의 프로세스의 다른 변수를 지시하는 일련의 명령어들을 포함한다. 모니터 및 키보드와 같은 입력/출력 장치(230)는 사용자와 시스템 제어기(200) 사이의 인터페이스에 사용된다.
본 발명에 의한 실리콘 웨이퍼의 세정 프로세스는 컴퓨터-판독 가능한 매체에 저장된, 또는 컴퓨터-판독 가능한 매체에 의해 전달되는 컴퓨터 프로그램을 사용하여 수행될 수 있다. 컴퓨터 프로그램 코드는 68000 어셈블리 언어, C, C++, 파스칼, 포트란, 또는 다른 언어와 같은 임의의 종래의 컴퓨터로 판독 가능한 프로그래밍 언어로 작성될 수 있다. 또한 본 발명의 장치 내의 실리콘 웨이퍼를 프로세싱하는데 필요한 가스 유동율, 프로세스 압력 및 프로세스 온도와 같은 프로세스 파라미터는 컴퓨터-판독 가능한 매체 내에 저장될 수 있으며, 또는 컴퓨터-판독 가능한 매체에 의해 전달될 수 있다.
도 7b는 본 발명에 의한 프로세스를 수행하는 시스템 제어 프로그램의 조직도의 예를 도시하고 있다. 시스템 제어 프로그램은 프로세스를 수행하는데 필요한챔버 요소의 공정을 제어하는 다양한 챔버 요소 서브루틴의 수행을 제어하는 챔버 매니져 서브루틴(chamber manager subroutine;300)을 포함한다. 수소 가스 제어 서브루틴(310), 압력 제어 서브루틴(320), 및 히터 제어 서브루틴(330)은 챔버 요소의 서브루틴의 예이다. 당업자는 다른 챔버 제어 서브루틴도 챔버 내에서 수행될 필요가 있는 프로세스에 의존하여 포함될 수 있다고 쉽게 인지한다.
작동 중에, 챔버 매니져 서브루틴(300)은 수행되는 프로세스에 의한 챔버 요소의 서브루틴을 선택적으로 스케쥴하거나, 또는 호출한다. 일반적으로, 챔버 매니져 서브루틴(300)은 다양한 챔버 요소를 모니터링하는 단계를 포함하며, 모니터링 및 결정 단계에 응답하여 챔버 요소 서브루틴을 수행하도록 프로세스는 설정되어 이러한 서브루틴을 수행하기 위하여 프로세스 파라미터를 기초로 하여 작동될 필요가 있는 요소들을 결정한다.
수소 가스 제어 서브루틴(310)은 수소 가스 유동율을 제어하는 프로그램 코드를 구비한다. 수소 가스 제어 서브루틴(310)은 안전 차단 밸브(safety shut-off valve)의 개방/밀폐 위치를 제어하며, 또한 요구되는 유량율을 얻기 위하여 질량 유동 제어기(143)를 상/하로 경사지게 한다. 모든 챔버 요소 서브루틴과 마찬가지로, 수소 가스 제어 서브루틴(310)은 챔버 매니져 서브루틴(300)에 의해 호출되며, 챔버 매니져 서브루틴(300)으로부터 요구되는 유동율과 연관된 프로세스 파라미터를 수신한다. 일반적으로, 수소 가스 제어 서브루틴(310)은 가스 공급 라인의 개방 단계 및 반복적으로 (ⅰ) 질량 유동 제어기를 판독하는 단계, (ⅱ) 판독 데이터를 챔버 매니져 서브루틴(300)으로부터 수신된 요구되는 유동율 파라미터와 비교하는 단계, 및 (ⅲ) 필요에 의해 질량 유동 제어기를 조절하는 단계에 의해 작동한다. 또한, 수소 가스 제어 서브루틴(310)은 불안정한 유동율에 대한 수소 가스 유동율을 모니터링하는 단계, 및 불안정한 상태가 감지되는 때 안전 차단 밸브를 작동시키는 단계를 포함한다.
압력 제어 서브루틴(320)은 진공 시스템(160) 내의 교축 밸브의 개방 크기를 조절하여 챔버(120) 내의 압력을 제어하는 프로그램 코드를 포함한다. 압력 제어 서브루틴(320)은 전체 프로세스 가스 유동, 챔버 크기, 및 배기 시스템에 대한 펌핑의 목표치 압력에 관하여 챔버 압력을 요구되는 수준까지 제어한다. 일반적으로, 압력 제어 서브루틴(320)은 챔버(120) 내의 압력 측정에 의해 작동한다. 이러한 압력은 챔버와 연결된 하나 이상의 종래의 압력계의 판독 단계, 측정된 압력과 챔버 매니져 서브루틴(300)으로부터 수신된 요구되는 프로세스 압력 파라미터를 비교하는 단계, 요구되는 프로세스 압력과 이에 상응하는 저장된 압력 테이블로부터 PID(비례 적분 및 미분) 값을 얻는 단계, 및 압력 테이블로부터의 PID 값에 의해 교축 밸브를 조절하는 단계에 의해 측정된다. 일 실시예에서, 프로세스 제어 서브루틴은 챔버(120) 내의 산소 및 물의 부분 압력을 측정하며, 챔버 매니져 서브루틴으로부터 요구되는 부분 압력 파라미터를 수신하며, 그리고 교축 밸브를 조절한다. 다른 실시예에서, 압력 제어 서브루틴(320)은 챔버 내의 압력을 조절하기 위하여 특정의 개구부 크기에 대해 교축 밸브의 개방 또는 밀폐시키기 위하여 기술되어 있다.
히터 제어 서브루틴(330)은 실리콘 웨이퍼(132)의 가열에 사용되는히터(150)에 제공되는 전력을 제어하기 위한 프로그램 코드를 포함한다. 히터 제어 서브루틴(330)은 챔버(120) 내의 온도를 측정하도록 작동한다. 이러한 챔버 내의 온도는 서셉터(130)를 향하고 있는 고온계(171)와 같은 온도 측정 장치의 출력 전압을 판독하는 단계, 측정된 온도와 챔버 매니져 서브루틴(300)으로부터 수신된 요구되는 프로세스 온도와 비교하는 단계, 및 요구되는 프로세스 온도를 얻기 위하여 히터(150)에 공급되는 전력을 조절하는 단계에 의해 측정된다.
본 발명의 프로세스 및 장치는 특정의 실시예에 관하여 기술되었다. 당업자는 상기 기술된 실시예에 관하여 다양한 변화, 변경, 및 개선이 가능함을 인지할 수 있다. 예컨대, 본 발명의 프로세스에서 수소 가스는 실리콘 웨이퍼 표면을 가로질러 유동하기 전에 정화 필터를 관통하여 통과할 수 있으며, 이러한 정화 필터는 본 발명의 장치에 부가될 수 있다. 본 발명의 영역은 상기의 실시예에 의해 제한되지 않으며, 이하의 청구항에 의해 제한된다.
본 발명 프로세스에 의한 낮은 압력과 높은 수소 유동율의 환경에서 실리콘 웨이퍼의 표면 세정에 의해 상대적으로 낮은 온도에서 반응 가스의 사용 없이도 고유의 산화물 및 다른 오염물을 제거할 수 있다.
따라서 반응 가스가 생성시킬 수 있는 잔여물로부터의 오염 위험을 제거하여 웨이퍼 세정 중에 반응 가스에 의해 발생하는 챔버 또는 웨이퍼 상의 디바이스의 손상 위험을 제거한다.

Claims (57)

  1. 챔버 내의 실리콘 웨이퍼의 표면 세정에 대한 프로세스로서,
    먼저 상기 챔버 내로 상기 실리콘 웨이퍼를 전달하는 단계;
    상기 챔버를 약 1 Torr 미만의 프로세스 압력으로 소개시키는 단계;
    상기 프로세스 압력을 유지하면서, 수소 가스를 상기 실리콘 웨이퍼의 표면을 가로질러 유동시키는 단계;
    상기 실리콘 웨이퍼를 약 800℃ 이하의 프로세스 온도까지 가열하는 단계; 및
    수소 가스를 상기 표면을 가로질러 유동시키는 동안에 상기 실리콘 웨이퍼를 상기 프로세스 압력 및 상기 프로세스 온도로 유지하는 단계를 포함하는 프로세스.
  2. 제 1 항에 있어서, 상기 실리콘 웨이퍼가 상기 프로세스 온도 미만의 상기 챔버 내로 전달되는 프로세스.
  3. 제 1 항에 있어서, 상기 실리콘 웨이퍼가 약 450℃에서 약 600℃ 사이 온도의 상기 챔버 내로 전달되는 프로세스.
  4. 제 1 항에 있어서, 상기 실리콘 웨이퍼가 약 550℃ 온도의 상기 챔버 내로 전달되는 프로세스.
  5. 제 1 항에 있어서, 상기 압력이 약 0.1 Torr에서 약 1 Torr 사이인 프로세스.
  6. 제 1 항에 있어서, 상기 압력이 약 0.2 Torr에서 약 0.5 Torr 사이인 프로세스.
  7. 제 1 항에 있어서, 수소 가스가 약 0.03 SLM에서 약 3 SLM 사이의 비율로 유동하는 프로세스.
  8. 제 1 항에 있어서, 수소 가스가 약 0.3 SLM의 비율로 유동하는 프로세스.
  9. 제 1 항에 있어서, 프로세스 압력이 약 1 Torr 미만으로 유지되는 동안에 상기 표면을 가로지르는 수소 가스의 유동을 최대화시키는 단계를 더 포함하는 방법.
  10. 제 1 항에 있어서, 시간당 약 30 입방 미터 이상의 펌핑 속도를 사용하여, 수소 가스가 유동하는 동안에 상기 프로세스 압력이 유지되는 프로세스.
  11. 제 1 항에 있어서, 시간당 약 30 입방 미터에서 약 200 입방 미터 사이의 펌핑 속도를 사용하여, 수소 가스가 유동하는 동안에 상기 프로세스 압력이 유지되는프로세스.
  12. 제 1 항에 있어서, 시간당 약 100 입방 미터 이상의 펌핑 속도를 사용하여, 수소 가스가 유동하는 동안에 상기 프로세스 압력이 유지되는 프로세스.
  13. 제 1 항에 있어서, 상기 프로세스 온도가 약 700℃에서 약 800℃ 사이인 프로세스.
  14. 제 1 항에 있어서, 상기 프로세스 온도가 약 750℃에서 약 775℃ 사이인 프로세스.
  15. 제 1 항에 있어서, 상기 수소 가스가 약 5분 미만 동안 상기 표면을 가로질러 유동하는 동안에 상기 실리콘 웨이퍼가 상기 프로세스 압력 및 상기 프로세스 온도에서 유지되는 프로세스.
  16. 제 1 항에 있어서, 상기 수소 가스가 거의 모든 계면 산소 오염물이 상기 표면으로부터 제거될 때까지 상기 표면을 가로질러 유동하는 동안에 상기 실리콘 웨이퍼가 상기 프로세스 압력 및 상기 프로세스 온도에서 유지되는 프로세스.
  17. 제 1 항에 있어서, 상기 챔버의 소개 단계가 상기 실리콘 웨이퍼의 가열 단계 보다 선행하는 프로세스.
  18. 제 1 항에 있어서, 수소 가스의 유동 단계가 상기 실리콘 웨이퍼의 가열 단계 보다 선행하는 프로세스.
  19. 제 1 항에 있어서, 상기 프로세스 압력이 약 10 μTorr 미만의 물 및 산소의 부분 압력을 포함하는 프로세스.
  20. 제 1 항에 있어서, 상기 프로세스 압력이 약 1 μTorr에서 약 5 μTorr 사이의 물 및 산소의 부분 압력을 포함하는 프로세스.
  21. 챔버 내의 실리콘 웨이퍼의 표면 세정에 대한 프로세스로서,
    먼저 상기 챔버 내로 상기 실리콘 웨이퍼를 전달하는 단계;
    상기 챔버를 약 1 Torr 미만의 제 1 프로세스 압력으로 소개시키는 단계;
    상기 제 1 프로세스 압력을 유지하면서, 수소 가스를 상기 실리콘 웨이퍼의 표면을 가로질러 제 1 유동율로 유동시키는 단계;
    상기 실리콘 웨이퍼를 약 800℃ 이하의 제 1 프로세스 온도까지 가열하는 단계;
    수소 가스가 상기 표면을 가로질러 유동하는 동안에 실리콘 웨이퍼를 상기 제 1 프로세스 압력 및 상기 제 1 프로세스 온도로 유지하는 단계; 그 다음에
    상기 제 1 프로세스 압력을 약 1 Torr 이상의 제 2 프로세스 압력으로 증가시키는 단계;
    상기 제 2 프로세스 압력이 유지되는 동안에 상기 실리콘 웨이퍼의 표면을 가로 지르는 상기 수소 가스의 유동을 상기 제 1 유동율에서 제 2 유동율까지 증가시키는 단계;
    상기 제 1 프로세스 온도를 여전히 약 800℃ 이하인 제 2 프로세스 온도로 증가시키는 단계; 및
    수소 가스가 상기 제 2 유동율로 상기 표면을 가로질러 유동하는 동안에 상기 실리콘 웨이퍼를 상기 제 2 프로세스 압력 및 상기 제 2 프로세스 온도에서 유지하는 단계를 포함하는 프로세스.
  22. 제 21 항에 있어서, 상기 제 2 프로세스 압력이 약 10 Torr에서 약 100 Torr 사이인 프로세스.
  23. 제 21 항에 있어서, 상기 제 2 유동율이 약 3 SLM을 초과하는 프로세스.
  24. 제 21 항에 있어서, 상기 제 2 유동율이 약 10 SLM에서 약 50 SLM 사이인 프로세스.
  25. 제 21 항에 있어서, 상기 제 1 프로세스 온도가 약 770℃ 이하인 프로세스.
  26. 제 21 항에 있어서, 상기 제 2 프로세스 온도가 약 775℃에서 약 800℃ 사이인 프로세스.
  27. 제 21 항에 있어서, 수소 가스가 약 3 분 미만 동안 상기 표면을 가로질러 상기 제 1 유동율로 유동하는 동안에 상기 실리콘 웨이퍼가 상기 제 1 프로세스 압력 및 상기 제 1 프로세스 온도에서 유지되며,
    수소 가스가 약 3 분 미만 동안 상기 표면을 가로질러 상기 제 2 유동율로 유동하는 동안에 상기 실리콘 웨이퍼가 상기 제 2 프로세스 압력 및 상기 제 2 프로세스 온도에서 유지되는 프로세스.
  28. 챔버 내의 실리콘 웨이퍼의 표면 세정에 대한 프로세스로서,
    먼저 약 600℃ 미만의 전달 온도에서 상기 챔버 내로 상기 실리콘 웨이퍼를 전달하는 단계;
    상기 챔버를 약 1 Torr 미만의 제 1 프로세스 압력으로 소개시키는 단계;
    상기 제 1 프로세스 압력을 유지하는 동안에 수소 가스가 최대 약 3 SLM 까지의 제 1 유동율로 상기 실리콘 웨이퍼의 표면을 가로질러 유동하는 단계;
    상기 실리콘 웨이퍼를 약 770℃ 이하의 제 1 프로세스 온도까지 가열하는 단계;
    수소 가스가 3분 미만 동안 상기 제 1 유동율로 상기 표면을 가로질러 유동하는 동안에 실리콘 웨이퍼를 상기 제 1 프로세스 압력 및 상기 제 1 프로세스 온도로 유지하는 단계; 그 다음에
    상기 제 1 프로세스 압력을 약 10 Torr에서 약 100 Torr 사이의 제 2 프로세스 압력으로 증가시키는 단계;
    상기 실리콘 웨이퍼의 표면을 가로지르는 상기 수소 가스의 유동을 상기 제 1 유동율에서 약 10 SLM에서 약 50 SLM 사이의 제 2 유동율까지 증가시키는 단계;
    상기 제 1 프로세스 온도를 약 800℃ 이하의 제 2 프로세스 온도로 증가하는 단계; 및
    수소 가스가 약 3 분 미만 동안 상기 제 2 유동율에서 상기 표면을 가로질러 유동하는 동안에 상기 실리콘 웨이퍼가 상기 제 2 프로세스 압력 및 상기 제 2 프로세스 온도에서 유지하는 단계를 포함하는 프로세스.
  29. 제 28 항에 있어서, 상기 제 1 프로세스 압력이 약 0.1 Torr에서 약 1 Torr 사이인 프로세스.
  30. 제 28 항에 있어서, 상기 제 1 프로세스 압력이 약 0.2 Torr에서 약 0.5 Torr 사이인 프로세스.
  31. 제 28 항에 있어서, 상기 제 1 유동율이 약 0.03 SLM에서 약 3 SLM 사이인 프로세스.
  32. 제 28 항에 있어서, 상기 제 1 유동율이 약 0.3 SLM인 프로세스.
  33. 제 28 항에 있어서, 상기 제 1 프로세스 온도가 약 700℃에서 약 770℃ 사이인 프로세스.
  34. 제 28 항에 있어서, 상기 챔버의 소개 단계가 상기 실리콘 웨이퍼의 가열 단계 보다 선행하는 프로세스.
  35. 제 28 항에 있어서, 수소 가스의 유동 단계가 상기 실리콘 웨이퍼의 가열 단계 보다 선행하는 프로세스.
  36. 제 28 항에 있어서, 상기 제 2 프로세스 압력이 약 25 Torr인 프로세스.
  37. 제 28 항에 있어서, 상기 제 2 유동율이 약 20 SLM인 프로세스.
  38. 제 28 항에 있어서, 상기 제 1 프로세스 온도가 약 750℃이고, 상기 제 2 프로세스 온도가 약 780℃인 프로세스.
  39. 제 28 항에 있어서, 상기 제 2 프로세스 온도가 약 775℃에서 약 800℃ 사이인 프로세스.
  40. 제 28 항에 있어서, 수소 가스가 약 1 분 동안 상기 표면을 가로질러 상기 제 1 유동율로 유동하는 동안에 상기 실리콘 웨이퍼가 상기 제 1 프로세스 압력 및 상기 제 1 프로세스 온도에서 유지되는 프로세스.
  41. 제 28 항에 있어서, 수소 가스가 약 1 분 동안 상기 표면을 가로질러 상기 제 2 유동율로 유동하는 동안에 상기 실리콘 웨이퍼가 상기 제 2 프로세스 압력 및 상기 제 2 프로세스 온도에서 유지되는 프로세스.
  42. 세정되는 표면인 제 1 표면 및 제 2 표면을 구비하는 실리콘 웨이퍼의 표면 세정용 장치로서, 상기 장치는 하우징; 및 열을 상기 실리콘 웨이퍼의 상기 제 2 표면 쪽으로만 복사하도록 설치된 히터를 포함하며,
    상기 하우징은
    석영을 포함하는 표면에 의해 형성되는 챔버;
    상기 챔버의 외부에 위치한 리플렉터;
    상기 챔버 내에 위치하고, 상기 제 1 표면이 상기 리플렉터에 대면하게 상기 실리콘 웨이퍼를 수용하도록 설치된 서셉터;
    입구 포트; 및
    상기 입구 포트로부터 주입된 가스가 상기 제 1 표면을 가로질러 진공 포트로 향하도록 상기 입구 포트에 대향하게 위치한 진공 포트를 구비하는 장치.
  43. 제 42 항에 있어서, 상기 챔버를 형성하는 상기 표면이 낮은 가스 누설의 특성을 가지는 석영을 포함하는 장치.
  44. 제 42 항에 있어서, 상기 챔버를 형성하는 상기 표면이 적외선이 통과하는 석영을 포함하는 장치.
  45. 제 42 항에 있어서, 상기 챔버를 형성하는 상기 표면이 상부 석영 돔, 하부 석영 돔, 및 석영 라이닝을 포함하는 장치.
  46. 제 42 항에 있어서, 상기 실리콘 웨이퍼의 상기 제 2 표면이 상기 서셉터와 접촉하며, 상기 서셉터는 상기 히터로부터의 열을 상기 실리콘 웨이퍼의 표면으로 전달하는 장치.
  47. 제 42 항에 있어서, 상기 히터가 상기 챔버의 외부에 위치하는 하나의 램프 모듈을 포함하는 장치.
  48. 제 42 항에 있어서, 상기 히터가 상기 챔버의 외부에 위치하는 다수의 램프를 포함하는 장치.
  49. 제 42 항에 있어서, 상기 진공 포트에 연결된 진공 시스템을 더 포함하는 장치.
  50. 제 49 항에 있어서, 상기 진공 시스템이 고속의 진공 펌프를 포함하는 장치.
  51. 제 49 항에 있어서, 상기 진공 시스템이 2-인치 직경의 진공 라인에 의해 상기 진공 포트에 연결된 펌프를 포함하는 장치.
  52. 제 42 항에 있어서, 상기 리플렉터가 금도금을 포함하는 장치.
  53. 제 42 항에 있어서, 상기 서셉터가 상기 실리콘 웨이퍼를 회전시킬 수 있도록 설치된 장치.
  54. 제 42 항에 있어서, 상기 입구 포트를 통해 주입된 가스가 평면의 제 1 표면을 가로질러 유동하도록 상기 입구 포트가 슬릿을 형성하는 장치.
  55. 세정 장치의 작동을 지시하는 저장된 명령어를 가지는 컴퓨터-판독 가능한 기록 매체로서, 상기 명령어가
    먼저 챔버 내로 실리콘 웨이퍼를 전달하는 단계;
    상기 챔버를 약 1 Torr 미만의 프로세스 압력으로 소개시키는 단계;
    상기 프로세스 압력을 유지하면서, 수소 가스를 상기 실리콘 웨이퍼의 표면을 가로질러 유동시키는 단계;
    상기 실리콘 웨이퍼를 약 800℃ 이하의 프로세스 온도까지 가열하는 단계; 및
    수소 가스가 상기 표면을 가로질러 유동하는 동안에 실리콘 웨이퍼를 상기 프로세스 압력 및 상기 프로세스 온도로 유지하는 단계를 포함하는 컴퓨터-판독 가능한 기록 매체.
  56. 세정 장치의 작동을 지시하는 저장된 명령어를 가지는 컴퓨터-판독 가능한 기록 매체로서, 상기 명령어가
    먼저 챔버 내로 실리콘 웨이퍼를 전달하는 단계;
    상기 챔버를 약 1 Torr 미만의 제 1 프로세스 압력으로 소개시키는 단계;
    상기 제 1 프로세스 압력을 유지하면서, 수소 가스를 상기 실리콘 웨이퍼의 표면을 가로질러 제 1 유동율로 유동시키는 단계;
    상기 실리콘 웨이퍼를 약 800℃ 이하의 제 1 프로세스 온도까지 가열하는 단계;
    수소 가스가 상기 표면을 가로질러 유동하는 동안에 실리콘 웨이퍼를 상기 제 1 프로세스 압력 및 상기 제 1 프로세스 온도로 유지하는 단계; 그 다음에
    상기 제 1 프로세스 압력을 약 1 Torr 이상의 제 2 프로세스 압력으로 증가시키는 단계;
    제 2 프로세스 압력이 유지되는 동안에 상기 실리콘 웨이퍼의 표면을 가로 지르는 상기 수소 가스의 유동을 상기 제 1 유동율에서 제 2 유동율까지 증가시키는 단계;
    상기 제 1 프로세스 온도를 약 800℃ 이하의 제 2 프로세스 온도로 증가시키는 단계; 및
    수소 가스가 상기 제 2 유동율에서 상기 표면을 가로질러 유동하는 동안에 상기 실리콘 웨이퍼를 상기 제 2 프로세스 압력 및 상기 제 2 프로세스 온도에서 유지하는 단계를 포함하는 컴퓨터-판독 가능한 기록 매체.
  57. 세정 장치의 작동을 지시하는 저장된 명령어를 가지는 컴퓨터-판독 가능한 기록 매체로서, 상기 명령어가
    먼저 약 600℃ 미만의 전달 온도에서 챔버 내로 실리콘 웨이퍼를 전달하는 단계;
    상기 챔버를 약 1 Torr 미만의 제 1 프로세스 압력으로 소개시키는 단계;
    상기 제 1 프로세스 압력을 유지하는 동안에 수소 가스가 약 3 SLM 까지의 제 1 유동율로 상기 실리콘 웨이퍼의 표면을 가로질러 유동하는 단계;
    상기 실리콘 웨이퍼를 약 770℃ 이하의 제 1 프로세스 온도로 가열하는 단계;
    수소 가스가 약 3 분 미만 동안 상기 표면을 가로질러 유동하는 동안에 실리콘 웨이퍼를 상기 제 1 프로세스 압력 및 상기 제 1 프로세스 온도로 유지하는 단계; 그 다음에
    상기 제 1 프로세스 압력을 약 10 Torr에서 약 100 Torr 사이의 제 2 프로세스 압력으로 증가시키는 단계
    상기 실리콘 웨이퍼의 표면을 가로 지르는 상기 수소 가스의 유동을 상기 제 1 유동율에서 약 10 SLM에서 약 50 SLM 사이의 제 2 유동율까지 증가시키는 단계;
    상기 제 1 프로세스 온도를 약 800℃ 이하의 제 2 프로세스 온도로 증가시키는 단계; 및
    수소 가스가 약 3 분 미만 동안 상기 제 2 유동율에서 상기 표면을 가로질러 유동하는 동안에 상기 실리콘 웨이퍼가 상기 제 2 프로세스 압력 및 상기 제 2 프로세스 온도에서 유지되는 단계를 포함하는 컴퓨터-판독 가능한 기록 매체.
KR1020010003907A 2000-01-28 2001-01-27 실리콘 표면 세정 방법 및 장치 KR100784575B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/493,694 US6494959B1 (en) 2000-01-28 2000-01-28 Process and apparatus for cleaning a silicon surface
US09/493,694 2000-01-28

Publications (2)

Publication Number Publication Date
KR20010078114A true KR20010078114A (ko) 2001-08-20
KR100784575B1 KR100784575B1 (ko) 2007-12-10

Family

ID=23961315

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010003907A KR100784575B1 (ko) 2000-01-28 2001-01-27 실리콘 표면 세정 방법 및 장치

Country Status (5)

Country Link
US (2) US6494959B1 (ko)
EP (1) EP1132953A1 (ko)
JP (1) JP2001298010A (ko)
KR (1) KR100784575B1 (ko)
TW (1) TW490758B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100678468B1 (ko) * 2005-01-14 2007-02-02 삼성전자주식회사 반도체 기판의 인-시츄 세정방법 및 이를 채택하는 반도체소자의 제조방법

Families Citing this family (183)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7105449B1 (en) * 1999-10-29 2006-09-12 Matsushita Electric Industrial Co., Ltd. Method for cleaning substrate and method for producing semiconductor device
KR20020083767A (ko) * 2001-04-30 2002-11-04 주식회사 하이닉스반도체 선택적 에피택셜 성장 공정에서의 기판 세정 방법
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
DE10216786C5 (de) * 2002-04-15 2009-10-15 Ers Electronic Gmbh Verfahren und Vorrichtung zur Konditionierung von Halbleiterwafern und/oder Hybriden
EP1523761A1 (en) * 2002-06-21 2005-04-20 Applied Materials, Inc. Transfer chamber for vacuum processing system
US6715498B1 (en) * 2002-09-06 2004-04-06 Novellus Systems, Inc. Method and apparatus for radiation enhanced supercritical fluid processing
JP3967245B2 (ja) * 2002-09-30 2007-08-29 株式会社東芝 回転機の寿命予測方法及び回転機を有する製造装置
US6868760B1 (en) * 2003-02-12 2005-03-22 Pratt-Read Corporation Tool locking mechanism
KR100826782B1 (ko) * 2002-10-28 2008-04-30 동부일렉트로닉스 주식회사 실리콘 웨이퍼 제조 방법
US7427426B2 (en) * 2002-11-06 2008-09-23 Tokyo Electron Limited CVD method for forming metal film by using metal carbonyl gas
KR100930148B1 (ko) * 2002-11-22 2009-12-08 어플라이드 머티어리얼스, 인코포레이티드 후면 가열 챔버
US8405183B2 (en) * 2003-04-14 2013-03-26 S'Tile Pole des Eco-Industries Semiconductor structure
EP1618612A1 (fr) * 2003-04-14 2006-01-25 Centre National De La Recherche Scientifique (Cnrs) Materiau semiconducteur obtenu par frittage
US20090028740A1 (en) * 2003-04-14 2009-01-29 S'tile Method for the production of semiconductor granules
US9493358B2 (en) * 2003-04-14 2016-11-15 Stile Photovoltaic module including integrated photovoltaic cells
US8192648B2 (en) * 2003-04-14 2012-06-05 S'tile Method for forming a sintered semiconductor material
US9741881B2 (en) 2003-04-14 2017-08-22 S'tile Photovoltaic module including integrated photovoltaic cells
JP2005093909A (ja) * 2003-09-19 2005-04-07 Tokyo Electron Ltd 基板処理方法及び基板処理装置
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7784164B2 (en) 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
DE102004063058A1 (de) * 2004-12-22 2006-07-13 Leybold Vacuum Gmbh Verfahren zum Reinigen einer Vakuum-Schraubenpumpe
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
US7651948B2 (en) * 2006-06-30 2010-01-26 Applied Materials, Inc. Pre-cleaning of substrates in epitaxy chambers
KR100827476B1 (ko) * 2006-08-29 2008-05-06 동부일렉트로닉스 주식회사 반도체 소자를 제조하기 위한 디가스 챔버 및 이를 이용한디가스 공정
US8008166B2 (en) 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US8596336B2 (en) * 2008-06-03 2013-12-03 Applied Materials, Inc. Substrate support temperature control
JP2010089014A (ja) * 2008-10-08 2010-04-22 Jpe:Kk プラズマ洗浄装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP6040898B2 (ja) * 2013-09-09 2016-12-07 豊田合成株式会社 Iii 族窒化物半導体発光素子の製造方法および製造装置および基板のクリーニング方法
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9653282B2 (en) 2014-07-29 2017-05-16 Applied Materials, Inc. Silicon-containing substrate cleaning procedure
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11131503B2 (en) 2018-03-26 2021-09-28 Goodrich Corporation Carbon fiber, carbon composite and furnace purification by hydrogen reduction followed by thermal heat treatment
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2021019201A (ja) * 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
RU198545U1 (ru) * 2020-02-26 2020-07-15 Общество с ограниченной ответственностью "Сенсор Микрон" Устройство для соединения полупроводниковых пластин

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3652324A (en) 1968-08-15 1972-03-28 Westinghouse Electric Corp A METHOD OF VAPOR DEPOSITING A LAYER OF Si{11 N{11 {0 ON A SILICON BASE
US4590091A (en) 1984-12-17 1986-05-20 Hughes Aircraft Company Photochemical process for substrate surface preparation
US4855258A (en) 1987-10-22 1989-08-08 Ncr Corporation Native oxide reduction for sealing nitride deposition
JP2534525B2 (ja) 1987-12-19 1996-09-18 富士通株式会社 β−炭化シリコン層の製造方法
JPH01319944A (ja) 1988-06-21 1989-12-26 Mitsubishi Electric Corp 半導体基板表面に薄膜を形成する方法およびその装置
US4956538A (en) 1988-09-09 1990-09-11 Texas Instruments, Incorporated Method and apparatus for real-time wafer temperature measurement using infrared pyrometry in advanced lamp-heated rapid thermal processors
US5104694A (en) 1989-04-21 1992-04-14 Nippon Telephone & Telegraph Corporation Selective chemical vapor deposition of a metallic film on the silicon surface
JPH0322527A (ja) 1989-06-20 1991-01-30 Fujitsu Ltd 半導体装置の製造方法
US5022961B1 (en) 1989-07-26 1997-05-27 Dainippon Screen Mfg Method for removing a film on a silicon layer surface
JPH03160720A (ja) 1989-11-20 1991-07-10 Oki Electric Ind Co Ltd 絶縁膜形成方法
US5089441A (en) 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
JP2697250B2 (ja) 1990-05-28 1998-01-14 富士電機株式会社 熱cvd装置
US5294568A (en) 1990-10-12 1994-03-15 Genus, Inc. Method of selective etching native oxide
US5032545A (en) 1990-10-30 1991-07-16 Micron Technology, Inc. Process for preventing a native oxide from forming on the surface of a semiconductor material and integrated circuit capacitors produced thereby
US5326406A (en) * 1991-07-31 1994-07-05 Kawasaki Steel Corporation Method of cleaning semiconductor substrate and apparatus for carrying out the same
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
US5303558A (en) 1992-07-30 1994-04-19 Vlsi Technology, Inc. Thermal trap for gaseous materials
US5296258A (en) 1992-09-30 1994-03-22 Northern Telecom Limited Method of forming silicon carbide
US5332444A (en) * 1992-11-25 1994-07-26 Air Products And Chemicals, Inc. Gas phase cleaning agents for removing metal containing contaminants from integrated circuit assemblies and a process for using the same
US5360769A (en) 1992-12-17 1994-11-01 Micron Semiconductor, Inc. Method for fabricating hybrid oxides for thinner gate devices
US5264396A (en) 1993-01-14 1993-11-23 Micron Semiconductor, Inc. Method for enhancing nitridation and oxidation growth by introducing pulsed NF3
US5322809A (en) 1993-05-11 1994-06-21 Texas Instruments Incorporated Self-aligned silicide process
EP0637063B1 (en) 1993-07-30 1999-11-03 Applied Materials, Inc. Method for depositing silicon nitride on silicium surfaces
US5650082A (en) 1993-10-29 1997-07-22 Applied Materials, Inc. Profiled substrate heating
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5445994A (en) 1994-04-11 1995-08-29 Micron Technology, Inc. Method for forming custom planar metal bonding pad connectors for semiconductor dice
US6153260A (en) * 1997-04-11 2000-11-28 Applied Materials, Inc. Method for heating exhaust gas in a substrate reactor

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100678468B1 (ko) * 2005-01-14 2007-02-02 삼성전자주식회사 반도체 기판의 인-시츄 세정방법 및 이를 채택하는 반도체소자의 제조방법

Also Published As

Publication number Publication date
EP1132953A1 (en) 2001-09-12
TW490758B (en) 2002-06-11
KR100784575B1 (ko) 2007-12-10
US20020166256A1 (en) 2002-11-14
US6494959B1 (en) 2002-12-17
JP2001298010A (ja) 2001-10-26

Similar Documents

Publication Publication Date Title
KR100784575B1 (ko) 실리콘 표면 세정 방법 및 장치
US7159599B2 (en) Method and apparatus for processing a wafer
US6171104B1 (en) Oxidation treatment method and apparatus
US20070093071A1 (en) Method and apparatus for processing a wafer
EP0335313A2 (en) Method of manufacturing semiconductor device and apparatus for use in practicing the method
US20180155851A1 (en) System for rapid bake of semiconductor substrate with upper linear heating elements perpendicular to horizontal gas flow
KR20010080370A (ko) 화학 증착된 필름의 인-시츄 후증착 표면 부동태화 방법
JP2006121073A (ja) 終点検出器及び粒子モニタ
KR20080029836A (ko) 반도체 장치의 제조방법 및 기판처리장치
JP4511721B2 (ja) インサイチュプレクリーニングステップを含むウェーハ上のチタン化学気相堆積法
US6170492B1 (en) Cleaning process end point determination using throttle valve position
US20060068598A1 (en) Film formation apparatus and method of using the same
KR102358308B1 (ko) 기판 처리 장치, 인젝터 내의 파티클 제거 방법 및 기판 처리 방법
KR100854543B1 (ko) 산화막 형성방법
JPH088231B2 (ja) 絶縁膜の選択的除去方法
JP4851647B2 (ja) 酸化層及びシリコン層のインサイチュウ成長
JP2001250818A (ja) 酸化処理装置及びそのクリーニング方法
US20060003542A1 (en) Method of oxidizing object to be processed and oxidation system
US5294280A (en) Gas measuring device and processing apparatus provided with the gas measuring device
KR101614422B1 (ko) 웨이퍼의 처리 방법 및 장치
WO2023181405A1 (ja) 基板処理装置、処理容器、基板保持具及び半導体装置の製造方法
JP2002329717A (ja) 被処理体の熱処理方法及びバッチ式熱処理装置
Bayoumi et al. Design and operation of a cluster-tool-based rapid thermal processing module
KR20070079102A (ko) 반도체 소자 제조 장치
JPH06302534A (ja) ウエハの熱処理装置及び熱処理方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Publication of correction
LAPS Lapse due to unpaid annual fee