KR19990077506A - 개선된게이트유전체를위한Si(111)상의초박결정실리콘질화물제조공정 - Google Patents

개선된게이트유전체를위한Si(111)상의초박결정실리콘질화물제조공정 Download PDF

Info

Publication number
KR19990077506A
KR19990077506A KR1019990006710A KR19990006710A KR19990077506A KR 19990077506 A KR19990077506 A KR 19990077506A KR 1019990006710 A KR1019990006710 A KR 1019990006710A KR 19990006710 A KR19990006710 A KR 19990006710A KR 19990077506 A KR19990077506 A KR 19990077506A
Authority
KR
South Korea
Prior art keywords
dielectric layer
silicon nitride
semiconductor device
layer
electrode layer
Prior art date
Application number
KR1019990006710A
Other languages
English (en)
Other versions
KR100567299B1 (ko
Inventor
월리스로버트엠.
윌크그렌디.
웨이이
핫탄가디수닐브이.
Original Assignee
윌리엄 비. 켐플러
텍사스 인스트루먼츠 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 윌리엄 비. 켐플러, 텍사스 인스트루먼츠 인코포레이티드 filed Critical 윌리엄 비. 켐플러
Publication of KR19990077506A publication Critical patent/KR19990077506A/ko
Application granted granted Critical
Publication of KR100567299B1 publication Critical patent/KR100567299B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/66181Conductor-insulator-semiconductor capacitors, e.g. trench capacitors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

반도체 장치 및 이 장치를 만드는 방법. 제1 실시예에 따른 장치는 실리콘(111) 표면을 제공하고, 표면 상에 결정 실리콘 질화물의 유전체층을 형성하고 실리콘 질화물의 유전체층 상에 전극층을 형성함으로써 제조된다. 실리콘(111) 표면은 클리닝되어 원자적으로 평탄해진다. 약 850℃ 내지 1000℃ 온도에서 약 1x10-7내지 약 1x10-5Torr의 압력으로 암모니아 분위기 내에 표면을 위치시킴으로써 결정 실리콘 질화물의 유전체층이 형성된다. 전극층은 대량 도핑된 실리콘이다. 제2 실시예에 따르면, 약 2 모노층의 두께를 갖는 결정 실리콘 질화물의 제1 유전체층이 위에 형성된 실리콘(111) 표면이 제공된다. 실리콘 질화물과 호환가능하고 실리콘 질화물보다 높은 유전율을 갖는 제2 유전체층이 제1 유전체층 상에 형성되고 전극층은 제2 유전체층 상에 형성된다. 약 2 모노층의 두께를 갖는 실리콘 질화물의 제3 유전체층이 제2 유전체층 및 전극층 사이에 형성될 수 있다. 제2 유전체층은 바람직하게 탄탈륨 5산화물, 티타늄 2산화물 및 페로브스카이트(perovskite) 재료로 이루어진 클래스로부터 취해진다. 양 실리콘 질화물층은 제1 실시예에서와 같이 형성될 수 있다. 전극층은 바람직하게 대량 도핑된 실리콘이다.

Description

개선된 게이트 유전체를 위한 Si(111) 상의 초박 결정 실리콘 질화물 제조 공정{PROCESS TO PRODUCE ULTRATHIN CRYSTALLINE SILICON NITRIDE ON Si(111) FOR ADVANCED GATE DIELECTRICS}
본 발명은 Si(111) 상에 초박 결정 실리콘 질화물을 제조하는 방법 및 이와 같은 초박 결정 실리콘 질화물을 사용한 반도체 장치의 형성에 관한 것이다.
VLSI 기술에서 지속적인 기하학의 크기 축소는, 이러한 크기 축소의 결과, FET 반도체 장치를 위한 게이트 유전체 및 반도체 메모리 장치를 위한 캐패시터 유전체인 구성 요소 박막 두께에서의 감소를 수반한다. 이러한 막에 대한 두께의 균일성 필요 조건(현 기술에서 대략 0.14nm의 두께)은 필요한 크기를 얻기 위해서 실리콘 웨이퍼 표면 조직(즉, 다음의 계면 거칠기(interfacial roughness))을 특별히 제어할 것을 필요로 한다. 초박막에 대한 계면 거칠기(interface roughness)의 예리한 민감도는 큰 웨이퍼(200mm 또는 300mm)에 관해 요구된 제어를 고려할 때 명백하다.
종래의 실리콘 반도체 기술은, 대부분 지난 20년이상 광범위하게 연구된 Si(100) 상의 산화막과 관련된 계면 트랩 밀도(Dit) 고려로 인해 Si(100) 기판을 포함한다. 더욱이, HF-최종 처리와 같이 현재 개발된 표면 준비 방법은 예기된 유전체 막두께의 균일성 필요 조건을 위해 받아들일 수 없는 거칠기를 가지고 Si(100) 수소-처리된 표면이 될 수 있다는 것이 설명된다.
실리콘 질화물과 같은 대안의 유전체 재료의 사용이 게이트 유전율(dielectric constant)을 증가시키고 또한 게이트 재료 내의 불순물에 대한 확산 장벽으로서의 기능을 하는 수단으로서 고려된다. 그러나, Si(100) 상에 현 실리콘 질화물을 제조하는 기술은 궁극적인 장치 성능을 열화시키는 해로운 계면 상태(트랩)를 나타낼 수 있는 비결정 질화물 또는 산화 질화물(oxynitride)층이 되게 한다.
Si(100) 기판 상의 실리콘 2산화물 유전체가 갖는 그 이상의 문제는 붕소 도핑된 폴리실리콘 게이트 구조로부터 붕소가 실리콘 2산화물을 통해 확산될 수 있다는 것이고, 이 문제는 게이트 산화물 두께 기하학이 감소되면서 증가되어, 이에 의해 특히 채널 영역에서 장치의 특성을 열화시킨다. 반면에, 실리콘 질화물을 통해서는 붕소가 확산되지 않지만, 실리콘 질화물과 Si(100) 간의 계면이 비결정 실리콘 질화물이 되어 액티브 반도체 장치의 채널 내에서 전자 흐름의 중단을 야기하므로써 실리콘 2산화물 갖는 구조보다 열등한 구조를 제공한다.
실리콘 2산화물 유전체가 갖는 또다른 문제는 트랜지스터의 게이트로부터 드레인까지의 전자 터널링의 결과로서 매우 얇은 두께가 받아들일 수 없는 누설 전류를 허용한다는 것이다. 실리콘 질화물은 실리콘 2산화물보다 큰 벌크(bulk) 유전율(약 3.9와 비교하여 ~7)을 갖기 때문에, 얇은 실리콘 2산화물층과 동일한 정전 용량 밀도를 갖는 두꺼운 실리콘 질화물층이 사용될 수 있다. 전자 터널링 전류가 층 두께에 지수함수적으로 의존하기 때문에, 약 10 내지 20Å의 유전체 두께의 증가에도 몇 차수의 크기로 누설 전류를 감소시킬 수 있다.
최근 연구에 따르면, Si(111) 상의 산화물로부터의 Dit가 Si(100) 상의 것에 견줄 수 있게 되어, 이러한 기판 상의 장치가 가능해지는 것으로 증명되고 있다. 실리콘(111) 표면은 표면 준비 용액 pH의 조심스런 제어로부터 수소-처리되고 원자적으로 평탄(atomically flat)하도록 제어될 수 있다. 결과로서 생기는 매끄러운 표면은 그러므로 다음의 막 피착 후 낮은 거칠기(<0.1nm, rms) 계면이 될 수 있다. 최근의 연구에 따르면, 또한 약 10-7내지 약 10-5Torr NH3부분 압력하의 800℃ 및 1130℃ 사이의 온도에서, 어떤 표면 불순물도 검출되지 않은 원자적으로 순수한 Si(111) 표면과 NH3와의 반응으로부터 Si(111) 상에 실리콘 질화막의 전위를 형성하는 것이 증명되었다. 클리닝 공정(cleaning process)은, 예를 들어 다음에 산화 작용(화학적 또는 열적)을 하고 그 후에, H-처리를 위해 산화물의 HF-최종 제거를 하는 표준 반도체 습식 클리닝을 포함할 수 있다. 수소는 다음에 질화물 피착을 위한 온도 램프업(ramp-up)의 과정 중에 흡수 제거된다. 대안으로, 클리닝은 잘 정돈된 표면을 형성하기 위해서 약 10-11내지 약 10-9Torr의 초고 진공(UHV), 1100℃에서의 "플래시 가열(falsh heating)" 및 상온에서의 냉각에 의해 일어날 수 있다. 적당한 온도 조건(850℃ 내지 1000℃)하에서, Si(111) 표면을 덮는 질화막은 원자적으로 평탄하여, 단지 질화물 언덕간의 단일 높이의 스텝(step)만이 존재한다. 결과로서 생기는 결정막은 이와 같이 에피택셜 질화물층에 유용하고, 또는 표면 패시베이션의 목적 및 다음의 결정 또는 비결정 유전체막의 과성장에 유용할 것이다.
이와 같은 에피택셜층과 연관된 계면 상태 밀도는 원자 가표(dangling bonds)가 에피택셜 성장 공정으로 소비되기 때문에 낮다. 더욱이, Si(111) 표면 준비에 의해 제공될 수 있는 매끄러운 계면은 또한 원자적으로 평탄한 질화물층이 된다. 이와 같이 뚜렷한 매끄러운 계면은 우수한 도핑 확산 장벽뿐만 아니라 향상된 전자 이동 특성(열등한 계면 스퍼터링)이 된다. 임의의 잔류 원자 가표는 H2또는 D2소결 공정으로부터 충분히 만족될 수 있다.
본 발명에 따르면, 종래 기술의 상술한 문제는 그러므로 최소화되고, 독점적이지 않더라도 반도체 장치용 게이트 유전체 및 반도체 메모리 장치 내의 캐패시터 유전체로서 주로 사용하기 위해 Si(111) 상의 초박 결정 실리콘 질화물층이 제공된다.
요약해서, Si(111) 상에 결정 실리콘 질화물을 성장하므로써 붕소 확산에 대한 장벽이 유지되고, 게다가 채널이 Si(100) 기판 위의 비결정 실리콘 질화물의 경우에서와 같이 중단되지 않는다.
본 발명에 따르면, 최소화된 종래 기술의 다른 문제는 구동 전류가 게이트 전극 및 기판간의 정전 용량에 비례한다는 사실에 근거한다. 그러므로, 유전체의 접촉 영역이 감소함에 따라서 주어진 구동 전류에 대해서, 유전체 두께가 또한 감소되어야만 한다. 이 결과는 게이트 전극으로부터의 전자가 다음에 유전체를 통해서 터널링 가능하고 채널 또는 드레인 전류에 추가되어, 장치 제어가 결여된다는 것이다. 실리콘 2산화물의 유전율이 약 3.9이고 실리콘 질화물의 유전율이 약 7이기 때문에, 실리콘 질화물의 두꺼운 층은 동일한 정전 용량 및 구동 전류 특성을 제공받을 수 있고, 게다가 유전체를 통한 전자 터널링을 방지할 수 있다.
본 발명에 따르면, 반도체 장치를 형성하기 위해서, 클리닝되고 상기 규정된 바와 같이 원자적으로 평탄한 Si(111)의 표면이 초기에 제공된다. Si(111) 표면은 표준 반응 챔버 내에 놓여지고 이 챔버는 약 5초 내지 약 5분동안 약 850℃ 내지 약 1000℃의 온도에서 약 1x10-7내지 1x10-5Torr의 암모니아 부분 압력에서 정화되어 암모니아(NH3)로 채워져서 Si(111) 상에 약 0.3nm 내지 약 3nm의 결정 실리콘 질화물의 박층을 제공한다. 다음으로 반도체 장치의 나머지는 예를 들어, 폴리실리콘층으로 도핑된 층 또는 실리콘 질화물층 위의 금속층을 피착하는 표준 방식으로 제조된다. 붕소가 도핑된 폴리실리콘 전극의 경우에, 붕소는 유전체로서 실리콘 질화물을 사용하기 때문에 유전체를 통한 확산이 방지될 것이다.
실리콘 질화물이 유전체 재료로서 상술되는 한편, 보다 높은 유전율을 갖고 실리콘 질화물과 호환가능한 다른 재료가 사용될 수 있다는 것을 인정하여야만 한다. 예를 들어 탄탈륨 5산화물(Ta2O5), 티타늄 2산화물(TiO2) 또는 페로브스카이트 재료를 이용한 계면에서 SiOX를 형성하는 실리콘 호환성의 결여가 있을 때, 실리콘 질화물의 매우 얇은 층이 유전 재료를 Si(111) 기판 및/또는 유전체 위의 전극으로부터 분리시키는데 사용될 수 있고, 이와 같은 층은 약 2 모노층(monolayers)의 두께를 갖는다.
도 1은 본 발명에 따른 제1 실시예에 따라서 제조된 반도체 장치의 단면도.
도 2는 본 발명에 따른 제2 실시예에 따라서 제조된 반도체 장치의 단면도.
〈도면의 주요 부분에 대한 부호의 설명〉
1 : Si(111) 기판
3 : 유전체층(실리콘 질화물)
5 : 전극층
11 : Si(111) 기판
13 : 제1 유전체층(실리콘 질화물)
15 : 제2 유전체층(탄탈륨 5산화물)
17 : 제3 유전체층(실리콘 질화물)
19 : 전극층
먼저 도 1을 참고하면, 본 발명의 제1 실시예에 따라서 제조된 반도체 장치가 도시되어 있다. 반도체 장치는 결정 실리콘 질화물(Si3N4)(3)의 유전체층이 위에 형성된 Si(111) 기판(1)을 포함한다. 붕소 또는 인 또는 비소 도핑된 다결정 실리콘의 전극층(5)이 유전체층 위에 형성되어 완전한 반도체 액티브 트랜지스터 구조를 형성한다.
도 1의 반도체 장치는 상술한 바와 같은 방식으로 클리닝되어 원자적으로 평탄한 노출 표면을 갖는 기판(1)을 제공함으로써 제조된다. 기판은 4분 동안 900℃의 온도에서 1x10-6Torr의 압력으로 정화되어 암모니아 가스로 채워진 반응 챔버 내에 놓여져 클리닝된 표면 상에 0.5nm의 두께를 갖는 결정 실리콘 질화물(3)의 층을 형성한다. 반응 챔버는 그 후에 정화되고 붕소 또는 인 또는 비소를 함유한 다결정 실리콘이 표준 방식으로 실리콘 질화물층 위에 피착되어 전극층(5)을 제공한다.
도 2를 참조하면, 본 발명의 제2 실시예에 따라서 제조된 반도체 장치가 도시되어 있다. 반도체 장치는 2 모노층 두께를 갖는 결정 실리콘 질화물(Si3N4)(13)의 제1 유전체층이 위에 형성된 Si(111) 기판(11)을 포함한다. 탄탈륨 5산화물(15)의 제2층이 두께 4nm를 갖는 실리콘 질화물 위에 피착되고 2 모노층 두께를 갖는 실리콘 질화물(17)의 제3 유전체층이 다음에 온다. 붕소 또는 인 또는 비소 도핑된 다결정 실리콘의 전극층(19)이 제3 유전체층(17) 위에 형성되어 완전한 반도체 액티브 트랜지스터 구조를 형성한다.
도 2의 반도체 장치는 제1 실시예에서와 같이 노출된 표면을 갖는 기판(11)을 제공하므로써 제조된다. 이 기판은 4분동안 900℃의 온도에서 1x10-6Torr의 압력으로 정화되어 암모니아 가스로 채워진 반응 챔버 내에 놓여져 클리닝된 표면 상에 2 모노층의 두께를 갖는 결정 실리콘 질화물(13)의 제1 유전체층을 형성한다. 반응 챔버는 그 후에 정화되고 4nm의 두께를 갖는 탄탈륨 5산화물(15)의 제2 유전체층이 표준 방식으로 제1 유전체층 위에 피착된다. 반응 챔버는 다시 정화되고 결정 실리콘 질화물(17)의 제3 유전체층이 제1 유전체층에서 사용된 동일한 절차를 사용하여 제2 유전체층(15) 위에 피착된다. 붕소 또는 인 또는 비소를 함유한 다결정 실리콘층은 표준 방식으로 실리콘 질화물층 위에 피착되어 전극층(19)을 형성한다.
본 발명이 그의 특정한 바람직한 실시예를 참조하여 기술되었지만, 다수의 변화 및 변경이 곧 당업자에게 분명해질 것이다. 그러므로 첨부한 청구항이 종래 기술의 견지에서 가능한 넓게 해석된 발명은 모든 이러한 변화 및 변경을 포함한다.
요약해서, Si(111) 상에 결정 실리콘 질화물을 성장하므로써 붕소 확산에 대한 장벽이 유지되고, 게다가 채널이 Si(100) 기판 위의 비결정 실리콘 질화물의 경우에서와 같이 중단되지 않는다.

Claims (21)

  1. 반도체 장치의 제조 방법에 있어서,
    (a) 실리콘(111) 표면을 제공하는 단계,
    (b) 상기 표면 상에 결정 실리콘 질화물의 유전체층을 형성하는 단계, 및
    (c) 상기 실리콘 질화물의 유전체층 상에 전극층을 형성하는 단계
    를 포함하는 반도체 장치의 제조 방법.
  2. 제1항에 있어서, 상기 표면을 클리닝하고 상기 표면을 원자적으로 평탄하게 하는 단계를 더 포함하는 반도체 장치의 제조 방법.
  3. 제1항에 있어서, 상기 결정 실리콘 질화물의 유전체층을 형성하는 상기 단계가 약 850℃ 내지 약 1000℃의 온도에서 약 1x10-7내지 약 1x10-5Torr의 압력으로 암모니아 분위기에 상기 표면을 위치시키는 단계를 포함하는 반도체 장치의 제조 방법.
  4. 제2항에 있어서, 상기 결정 실리콘 질화물의 유전체층을 형성하는 상기 단계가 약 850℃ 내지 약 1000℃의 온도에서 약 1x10-7내지 약 1x10-5Torr의 압력으로 암모니아 분위기에 상기 표면을 위치시키는 단계를 포함하는 반도체 장치의 제조 방법.
  5. 제3항에 있어서, 상기 전극층이 붕소 도핑된 실리콘인 반도체 장치의 제조 방법.
  6. 제4항에 있어서, 상기 전극층이 붕소 도핑된 실리콘인 반도체 장치의 제조 방법.
  7. 반도체 장치에 있어서,
    (a) 실리콘(111) 표면,
    (b) 상기 표면 상의 결정 실리콘 질화물의 유전체층, 및
    (c) 상기 실리콘 질화물의 유전체층 상의 전극층
    을 포함하는 반도체 장치.
  8. 제7항에 있어서, 상기 표면이 클리닝되고 원자적으로 평탄한 반도체 장치.
  9. 제7항에 있어서, 상기 전극층이 붕소 도핑된 실리콘인 반도체 장치.
  10. 제8항에 있어서, 상기 전극층이 붕소 도핑된 실리콘인 반도체 장치.
  11. 반도체 장치를 제조하는 방법에 있어서,
    (a) 실리콘(111) 표면을 제공하는 단계,
    (b) 약 2 모노층(monolayers)의 두께를 갖는 결정 실리콘 질화물의 제1 유전체층을 상기 표면 상에 형성하는 단계,
    (c) 실리콘 질화물과 호환가능하고 실리콘 질화물보다 높은 유전율을 갖는 제2 유전체층을 상기 제1 유전체층 상에 형성하는 단계, 및
    (d) 상기 제2 유전체층 위에 전극층을 형성하는 단계
    를 포함하는 반도체 장치의 제조 방법.
  12. 제11항에 있어서, 상기 제2 유전체층과 상기 전극층간에 약 2 모노층의 두께를 갖는 실리콘 질화물의 제3 유전체층을 형성하는 단계를 더 포함하는 반도체 장치의 제조 방법.
  13. 제11항에 있어서, 상기 제2 유전체층이 탄탈륨 5산화물, 티타늄 2산화물 및 페로브스카이트 재료로 이루어진 클래스로부터 취해지는 반도체 장치의 제조 방법.
  14. 제12항에 있어서, 상기 제2 유전체층이 탄탈륨 5산화물, 티타늄 2산화물 및 페로브스카이트 재료로 이루어진 클래스로부터 취해지는 반도체 장치의 제조 방법.
  15. 제11항에 있어서, 상기 결정 실리콘 질화물의 제1 유전체층을 형성하는 상기 단계는 약 850℃ 내지 약 1000℃의 온도에서 약 1x10-7내지 약 1x10-5Torr의 압력으로 암모니아 분위기에 상기 표면을 위치시키는 단계를 포함하는 반도체 장치의 제조 방법.
  16. 제14항에 있어서, 상기 결정 실리콘 질화물의 유전체층을 형성하는 상기 단계는 약 850℃ 내지 약 1000℃의 온도에서 약 1x10-7내지 약 1x10-5Torr의 압력으로 암모니아 분위기에 상기 표면을 위치시키는 단계를 포함하는 반도체 장치의 제조 방법.
  17. 제16항에 있어서, 상기 전극층은 붕소 도핑된 실리콘인 반도체 장치의 제조 방법.
  18. 반도체 장치에 있어서,
    (a) 실리콘(111) 표면,
    (b) 약 2 모노층의 두께를 갖는 상기 표면 상의 결정 실리콘 질화물의 제1 유전체층,
    (c) 실리콘 질화물과 호환가능하고 실리콘 질화물보다 높은 유전율을 갖는 상기 제1 유전체층 상의 제2 유전체층, 및
    (d) 상기 제2 유전체층 위의 전극층
    을 포함하는 반도체 장치.
  19. 제18항에 있어서, 상기 제2 유전체층 및 상기 전극층 간에 약 2 모노층의 두께를 갖는 실리콘 질화물의 제3 유전체층을 더 포함하는 반도체 장치.
  20. 제19항에 있어서, 상기 제2 유전체층이 탄탈륨 5산화물, 티타늄 2산화물 및 페로브스카이트 재료로 이루어진 클래스로부터 취해지는 반도체 장치.
  21. 제20항에 있어서, 상기 전극층이 붕소 도핑된 실리콘인 반도체 장치.
KR1019990006710A 1998-03-27 1999-02-27 반도체 장치 및 반도체 장치의 게이트 구조 제조 방법 KR100567299B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US7957398P 1998-03-27 1998-03-27
US60/079,573 1998-03-27

Publications (2)

Publication Number Publication Date
KR19990077506A true KR19990077506A (ko) 1999-10-25
KR100567299B1 KR100567299B1 (ko) 2006-04-04

Family

ID=49297279

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019990006710A KR100567299B1 (ko) 1998-03-27 1999-02-27 반도체 장치 및 반도체 장치의 게이트 구조 제조 방법

Country Status (3)

Country Link
US (1) US6277681B1 (ko)
JP (1) JP2000004018A (ko)
KR (1) KR100567299B1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100449072B1 (ko) * 2002-05-21 2004-09-18 한국전자통신연구원 강유전체 소자 및 그 제조 방법
KR100779899B1 (ko) * 2005-04-08 2007-11-28 인피니온 테크놀로지스 아게 반도체 장치 및 그 제조 방법

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6294807B1 (en) * 1999-02-26 2001-09-25 Agere Systems Guardian Corp. Semiconductor device structure including a tantalum pentoxide layer sandwiched between silicon nitride layers
JP2001015739A (ja) * 1999-06-30 2001-01-19 Nec Corp ゲート絶縁膜とその製造方法
DE19947053C1 (de) * 1999-09-30 2001-05-23 Infineon Technologies Ag Grabenkondensator zu Ladungsspeicherung und Verfahren zu seiner Herstellung
CA2360312A1 (en) 2000-10-30 2002-04-30 National Research Council Of Canada Novel gate dielectric
US6831339B2 (en) 2001-01-08 2004-12-14 International Business Machines Corporation Aluminum nitride and aluminum oxide/aluminum nitride heterostructure gate dielectric stack based field effect transistors and method for forming same
JP3792589B2 (ja) * 2001-03-29 2006-07-05 富士通株式会社 半導体装置の製造方法
US6509612B2 (en) * 2001-05-04 2003-01-21 International Business Machines Corporation High dielectric constant materials as gate dielectrics (insulators)
US6891231B2 (en) * 2001-06-13 2005-05-10 International Business Machines Corporation Complementary metal oxide semiconductor (CMOS) gate stack with high dielectric constant gate dielectric and integrated diffusion barrier
US20040171252A1 (en) * 2003-02-28 2004-09-02 Haoren Zhuang Reduced contamination of tools in semiconductor processing
JP4748927B2 (ja) * 2003-03-25 2011-08-17 ローム株式会社 半導体装置
JP4536333B2 (ja) * 2003-04-03 2010-09-01 忠弘 大見 半導体装置及び、その製造方法
JP4712292B2 (ja) * 2003-09-02 2011-06-29 財団法人国際科学振興財団 半導体装置及びその製造方法
US20050054156A1 (en) * 2003-09-10 2005-03-10 International Business Machines Corporation Capacitor and fabrication method using ultra-high vacuum cvd of silicon nitride
US7285312B2 (en) * 2004-01-16 2007-10-23 Honeywell International, Inc. Atomic layer deposition for turbine components
JP4296128B2 (ja) 2004-06-23 2009-07-15 株式会社東芝 不揮発性半導体メモリ装置及びその製造方法
US9148712B2 (en) 2010-12-10 2015-09-29 Infineon Technologies Ag Micromechanical digital loudspeaker

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5422306A (en) * 1991-12-17 1995-06-06 Matsushita Electric Industrial Co., Ltd. Method of forming semiconductor hetero interfaces
US5872376A (en) * 1997-03-06 1999-02-16 Advanced Micro Devices, Inc. Oxide formation technique using thin film silicon deposition
US5966624A (en) * 1997-07-29 1999-10-12 Siemens Aktiengesellschaft Method of manufacturing a semiconductor structure having a crystalline layer

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100449072B1 (ko) * 2002-05-21 2004-09-18 한국전자통신연구원 강유전체 소자 및 그 제조 방법
KR100779899B1 (ko) * 2005-04-08 2007-11-28 인피니온 테크놀로지스 아게 반도체 장치 및 그 제조 방법

Also Published As

Publication number Publication date
US6277681B1 (en) 2001-08-21
JP2000004018A (ja) 2000-01-07
KR100567299B1 (ko) 2006-04-04

Similar Documents

Publication Publication Date Title
KR100567299B1 (ko) 반도체 장치 및 반도체 장치의 게이트 구조 제조 방법
US6787863B2 (en) Mos field effect transistor and mos capacitor
US7863667B2 (en) Zirconium titanium oxide films
KR100287985B1 (ko) 레이저장치의출력제어장치
US5438012A (en) Method of fabricating capacitor element in super-LSI
US7037862B2 (en) Dielectric layer forming method and devices formed therewith
US6228779B1 (en) Ultra thin oxynitride and nitride/oxide stacked gate dielectrics fabricated by high pressure technology
US7923322B2 (en) Method of forming a capacitor
EP1028458A2 (en) Chemical vapor deposition of silicate high dielectric constant materials
US20050029547A1 (en) Lanthanide oxide / hafnium oxide dielectric layers
US20020048911A1 (en) Methods of forming a contact to a substrate, and methods of forming a capacitor
JPH0673367B2 (ja) 半導体集積回路容量の製作方法
US5858852A (en) Fabrication process of a stack type semiconductor capacitive element
US6573197B2 (en) Thermally stable poly-Si/high dielectric constant material interfaces
JP4120938B2 (ja) 高誘電率絶縁膜を有する半導体装置とその製造方法
US6495409B1 (en) MOS transistor having aluminum nitride gate structure and method of manufacturing same
TWI279891B (en) Method of manufacturing a flash memory cell
US6420729B2 (en) Process to produce ultrathin crystalline silicon nitride on Si (111) for advanced gate dielectrics
KR20020037337A (ko) 결정질 질화 실리콘 형성 방법
KR19990030230A (ko) 집적 회로 구조 및 제조 방법
KR100621542B1 (ko) 미세 전자 소자의 다층 유전체막 및 그 제조 방법
US20030003656A1 (en) Method of manufacturing flash memory device
KR100489650B1 (ko) 반도체장치의커패시터제조방법
KR100448238B1 (ko) 반도체 장치 제조 방법
JPH06140627A (ja) 電界効果型トランジスタ及びその製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130227

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140227

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150227

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20151230

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20161229

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20171228

Year of fee payment: 13

EXPY Expiration of term