KR102507344B1 - 공간적인 원자 층 증착에서의 가스 분리 제어 - Google Patents

공간적인 원자 층 증착에서의 가스 분리 제어 Download PDF

Info

Publication number
KR102507344B1
KR102507344B1 KR1020177009578A KR20177009578A KR102507344B1 KR 102507344 B1 KR102507344 B1 KR 102507344B1 KR 1020177009578 A KR1020177009578 A KR 1020177009578A KR 20177009578 A KR20177009578 A KR 20177009578A KR 102507344 B1 KR102507344 B1 KR 102507344B1
Authority
KR
South Korea
Prior art keywords
pressure gauge
exhaust system
throttle valve
gas
processing region
Prior art date
Application number
KR1020177009578A
Other languages
English (en)
Other versions
KR20170049588A (ko
Inventor
닝 리
스티븐 디. 마르쿠스
타이 티. 엔고
케빈 그리핀
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020177020277A priority Critical patent/KR102503706B1/ko
Priority to KR1020237007474A priority patent/KR102664779B1/ko
Publication of KR20170049588A publication Critical patent/KR20170049588A/ko
Application granted granted Critical
Publication of KR102507344B1 publication Critical patent/KR102507344B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4417Methods specially adapted for coating powder
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

공간적인 원자 층 증착을 위한 장치 및 방법들은 적어도 하나의 제 1 배기 시스템 및 적어도 하나의 제 2 배기 시스템을 포함한다. 각각의 배기 시스템은, 개별적인 배기 시스템과 연관된 프로세싱 영역에서의 압력을 제어하기 위해 압력 게이지 및 스로틀 밸브를 포함한다.

Description

공간적인 원자 층 증착에서의 가스 분리 제어{GAS SEPARATION CONTROL IN SPATIAL ATOMIC LAYER DEPOSITION}
[0001] 본 개시내용의 실시예들은 일반적으로, 기판들을 프로세싱하기 위한 장치에 관한 것이다. 보다 특정하게는, 본 개시내용의 실시예들은 공간적인 원자 층 증착 챔버에서 가스 분리를 제어하기 위한 장치 및 방법들에 관한 것이다.
[0002] 반도체 디바이스 형성은 일반적으로, 클러스터 툴들이라고도 또한 지칭될 수 있는, 다수의 챔버들을 포함하는 기판 프로세싱 시스템들 또는 플랫폼들에서 수행된다. 몇몇 경우들에서, 다중-챔버 프로세싱 플랫폼 또는 클러스터 툴의 목적은, 제어되는 환경에서 순차적으로 기판에 대해 2개 또는 그 초과의 프로세스들을 수행하는 것이다. 하지만, 다른 경우들에서, 다중 챔버 프로세싱 플랫폼은 기판들에 대해 단일 프로세싱 단계 만을 수행할 수 있다. 부가적인 챔버들은, 기판들이 프로세싱되는 레이트(rate)를 최대화하기 위해 채용될 수 있다. 후자의 경우에서, 기판들에 대해 수행되는 프로세스는 전형적으로, 배치(batch) 프로세스이고, 그러한 배치 프로세스에서, 예를 들어 25개 또는 50개와 같은 비교적 많은 수의 기판들이, 주어진 챔버에서, 동시에 프로세싱된다. 배치 프로세싱은, 경제적으로 실용적인 방식으로 개별적인 기판들에 대해 수행되기에 너무 시간-소모적인 프로세스들에 대해, 이를테면, 원자 층 증착(ALD) 프로세스들 및 몇몇 화학 기상 증착(CVD) 프로세스들에 대해, 특히 유익하다.
[0003] 공간적인 ALD의 개념은 상이한 가스상(gas phase) 반응성 케미컬(chemical)들의 명확한 분리에 기초한다. 가스상 반응들을 피하기 위해, 케미컬들의 혼합을 막는다. 공간적인 ALD 챔버의 일반적인 설계는, 서셉터(또는 웨이퍼 표면)과 가스 주입기 간의 작은 갭을 포함할 수 있다. 이러한 갭은 약 0.5 mm 내지 약 2.5 mm의 범위일 수 있다. 진공 펌핑 채널들이 각각의 케미컬 샤워헤드(chemical showerhead) 주위에 포지셔닝된다. 비활성 가스 퍼지 채널들이 가스상 혼합을 최소화하기 위해 케미컬 샤워헤드들 사이에 있다. 이러한 고유한 설계 특징들에도 불구하고, 가스 유동 및 펌핑 레벨은 상이한 채널들로부터의 케미컬들의 가스상 혼합을 피하도록 관리된다. 가스상 혼합을 최소화하기 위한 장치 및 방법들에 대한 계속적인 필요성이 당업계에 존재한다.
[0004] 본 개시내용의 하나 또는 그 초과의 실시예들은 프로세싱 챔버를 포함하는 증착 시스템들에 관한 것이다. 프로세싱 챔버는 챔버 용적(chamber volume)을 정의하는 벽들을 갖는다. 프로세싱 챔버는 서셉터 어셈블리 및 가스 분배 어셈블리를 포함하며, 그리고 가스 분배 어셈블리와 서셉터 어셈블리 간의 적어도 하나의 제 1 프로세싱 영역, 및 가스 분배 어셈블리와 서셉터 어셈블리 간의 적어도 하나의 제 2 프로세싱 영역을 갖는다. 적어도 하나의 제 1 프로세싱 영역 및 적어도 하나의 제 2 프로세싱 영역 각각은 가스 커튼(gas curtain)에 의해 분리된다. 챔버 배기 시스템이 챔버 용적과 유체 연통(fluid communication)한다. 챔버 배기 시스템은 프로세싱 챔버의 하류에 챔버 배기 스로틀 밸브를 포함한다. 제 1 배기 시스템이 적어도 하나의 제 1 프로세싱 영역과 유체 연통한다. 제 1 배기 시스템은 제 1 스로틀 밸브 및 제 1 압력 게이지(pressure gauge)를 포함한다. 제 2 배기 시스템이 적어도 하나의 제 2 프로세싱 영역과 유체 연통한다. 제 2 배기 시스템은 제 2 스로틀 밸브 및 제 2 압력 게이지를 포함한다. 제어기가, 제 1 압력 게이지 및/또는 제 2 압력 게이지로부터의 신호들에 응답하여 제 1 스로틀 밸브 및/또는 제 2 스로틀 밸브 중 하나 또는 그 초과를 제어하기 위해, 제 1 배기 시스템 및 제 2 배기 시스템과 통신(communication)한다.
[0005] 본 개시내용의 부가적인 실시예들은 프로세싱 챔버를 포함하는 증착 시스템들에 관한 것이다. 프로세싱 챔버는 챔버 용적을 정의하는 벽들을 가지며, 그리고 서셉터 어셈블리 및 가스 분배 어셈블리를 포함한다. 프로세싱 챔버는 적어도 하나의 제 1 프로세싱 영역, 적어도 하나의 제 2 프로세싱 영역, 적어도 하나의 제 3 프로세싱 영역 및 적어도 하나의 제 4 프로세싱 영역을 갖는다. 프로세싱 영역들 각각은 가스 분배 어셈블리와 서셉터 어셈블리 사이에 포지셔닝된다. 프로세싱 영역들 각각은, 가스 커튼에 의해, 인접하는 프로세싱 영역으로부터 분리된다. 챔버 배기 시스템이 챔버 용적과 유체 연통한다. 챔버 배기 시스템은 프로세싱 챔버의 하류에 챔버 배기 스로틀 밸브를 포함한다. 제 1 배기 시스템이 적어도 하나의 제 1 프로세싱 영역과 유체 연통하며, 제 1 스로틀 밸브 및 제 1 압력 게이지를 포함한다. 제 2 배기 시스템이 적어도 하나의 제 2 프로세싱 영역과 유체 연통하며, 제 2 스로틀 밸브 및 제 2 압력 게이지를 포함한다. 제 3 배기 시스템이 적어도 하나의 제 3 프로세싱 영역과 유체 연통하며, 제 3 스로틀 밸브 및 제 3 압력 게이지를 포함한다. 제 4 배기 시스템이 적어도 하나의 제 4 프로세싱 영역과 유체 연통하며, 제 4 스로틀 밸브 및 제 4 압력 게이지를 포함한다. 제어기가, 제 1 압력 게이지로부터의 신호들에 응답하여 제 1 스로틀 밸브를 제어하고, 제 2 압력 게이지로부터의 신호들에 응답하여 제 2 스로틀 밸브를 제어하고, 제 3 압력 게이지로부터의 신호들에 응답하여 제 3 스로틀 밸브를 제어하고, 그리고 제 4 압력 게이지로부터의 신호들에 응답하여 제 4 스로틀 밸브를 제어하기 위해, 제 1 배기 시스템, 제 2 배기 시스템, 제 3 배기 시스템 및 제 4 배기 시스템과 통신한다.
[0006] 본 개시내용의 추가의 실시예들은 프로세싱 챔버를 포함하는 증착 시스템들에 관한 것이다. 프로세싱 챔버는 챔버 용적을 정의하는 벽들을 가지며, 그리고 서셉터 어셈블리 및 가스 분배 어셈블리를 포함한다. 프로세싱 챔버는 적어도 하나의 제 1 프로세싱 영역, 적어도 하나의 제 2 프로세싱 영역, 적어도 하나의 제 3 프로세싱 영역 및 적어도 하나의 제 4 프로세싱 영역을 갖는다. 프로세싱 영역들 각각은 가스 분배 어셈블리와 서셉터 어셈블리 사이에 포지셔닝된다. 프로세싱 영역들 각각은, 가스 커튼에 의해, 인접하는 프로세싱 영역으로부터 분리된다. 챔버 배기 시스템이 챔버 용적과 유체 연통한다. 챔버 배기 시스템은 프로세싱 챔버의 하류에 챔버 배기 스로틀 밸브를 포함한다. 제 1 배기 시스템이 적어도 하나의 제 1 프로세싱 영역과 유체 연통하며, 제 1 스로틀 밸브 및 제 1 압력 게이지를 포함한다. 제 2 배기 시스템이 적어도 하나의 제 2 프로세싱 영역과 유체 연통하며, 제 2 스로틀 밸브 및 제 2 압력 게이지를 포함한다. 제 3 배기 시스템이 적어도 하나의 제 3 프로세싱 영역과 유체 연통하며, 제 3 스로틀 밸브 및 제 3 압력 게이지를 포함한다. 제 4 배기 시스템이 적어도 하나의 제 4 프로세싱 영역과 유체 연통하며, 제 4 스로틀 밸브 및 제 4 압력 게이지를 포함한다. 제어기가, 제 1 압력 게이지로부터의 신호들에 응답하여 제 1 스로틀 밸브를 제어하고, 제 2 압력 게이지로부터의 신호들에 응답하여 제 2 스로틀 밸브를 제어하고, 제 3 압력 게이지로부터의 신호들에 응답하여 제 3 스로틀 밸브를 제어하고, 그리고 제 4 압력 게이지로부터의 신호들에 응답하여 제 4 스로틀 밸브를 제어하기 위해, 제 1 배기 시스템, 제 2 배기 시스템, 제 3 배기 시스템 및 제 4 배기 시스템과 통신한다. 제 1 압력 게이지는 제 1 스로틀 밸브의 하류에 포지셔닝된 절대 게이지(absolute gauge)이고, 제 2 압력 게이지는 제 2 스로틀 밸브의 하류에 포지셔닝된 절대 게이지이다. 제 3 압력 게이지는 제 1 압력 게이지에 대한 압력차(pressure differential)를 측정하는 차동 게이지(differential gauge)이고, 제 4 압력 게이지는 제 2 압력 게이지에 대한 압력차를 측정하는 차동 게이지이다.
[0007] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간단히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 하지만, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 도시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0008] 도 1은 본 개시내용의 하나 또는 그 초과의 실시예에 따른 공간적인(spatial) 원자 층 증착 챔버의 측단면도이다.
[0009] 도 2는 본 개시내용의 하나 또는 그 초과의 실시예들에 따른, 로딩 스테이션과 4개의 가스 분배 어셈블리 유닛들로 구성된 기판 프로세싱 시스템의 개략적인 평면도이다.
[0010] 도 3은 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 프로세싱 챔버의 단면도를 도시한다.
[0011] 도 4는 본 개시내용의 하나 또는 그 초과의 실시예들에 따른, 서셉터 어셈블리 및 가스 분배 어셈블리 유닛들의 투시도를 도시한다.
[0012] 도 5는 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 프로세싱 챔버의 단면도를 도시한다.
[0013] 도 6은 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 파이-형상 가스 분배 어셈블리의 개략도를 도시한다.
[0014] 도 7은 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 프로세싱 챔버의 개략도를 도시한다.
[0015] 도 8a 내지 8c는 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 프로세싱 챔버의 개략도들을 도시한다.
[0016] 도 9는 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 프로세싱 챔버의 개략도를 도시한다.
[0017] 도 10은 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 프로세싱 챔버의 개략도를 도시한다.
[0018] 도 11은 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 프로세싱 챔버의 개략도를 도시한다.
[0019] 도 12는 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 프로세싱 챔버의 개략도를 도시한다.
[0020] 본 개시내용의 실시예들은, 처리량을 최대화하고, 프로세싱 효율 및 균일성을 개선하기 위해, 연속적인 기판 증착을 위한 기판 프로세싱 시스템을 제공한다. 기판 프로세싱 시스템은 또한, 증착-전 및 증착-후 기판 처리들에 대해 사용될 수 있다. 본 개시내용의 실시예들은, 배치 프로세서에서 증착 균일성을 증가시키기 위한 장치 및 방법들에 관련된다.
[0021] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "기판" 및 "웨이퍼"라는 용어는 교환가능하게 사용되고, 이들 양자 모두는, 프로세스가 작용하는, 표면, 또는 표면의 일부를 지칭한다. 기판에 대한 언급이 또한, 문맥상 명확히 다르게 지시되지 않는 한, 기판의 일부만을 언급할 수 있다는 것이 당업자에 의해 또한 이해될 것이다. 예를 들어, 도 1에 대하여 설명되는 공간적으로 분리된 ALD에서, 각각의 전구체가 기판에 전달되지만, 임의의 개별적인 전구체 스트림이, 임의의 주어진 시간에서, 기판의 일부에만 전달된다. 부가적으로, 기판 상의 증착에 대한 언급은, 하나 또는 그 초과의 막들 또는 피처(feature)들이 위에 증착 또는 형성된 기판, 및 베어(bare) 기판 양자 모두를 의미할 수 있다.
[0022] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "반응성 가스", "프로세스 가스", "전구체", "반응물(reactant)" 등과 같은 용어들은, 원자 층 증착 프로세스에서 반응적인 종(species)을 포함하는 가스를 의미하기 위해, 교환가능하게 사용된다. 예를 들어, 제 1 "반응성 가스"는 단순히, 기판의 표면 상에 흡착될 수 있고, 제 2 반응성 가스와의 추가적인 화학 반응을 위해 이용가능할 수 있다.
[0023] 본 개시내용의 실시예들은, 배치 프로세싱 챔버의 각각의 프로세싱 영역에서의 각각의 케미컬 샤워헤드(채널)에 대한 진공 펌핑의 자동 제어를 통해 공간적인 ALD에서의 가스상 혼합을 최소화하기 위한 방법들 및 장치에 관한 것이다.
[0024] 도 1은, 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 프로세싱 챔버(100)의 일부의 개략적인 단면도이다. 프로세싱 챔버(100)는 일반적으로, 진공 또는 적어도 저압 조건들 하에서 동작되는 밀봉가능한 인클로저(sealable enclosure)이다. 챔버(100)는, 기판(60)의 상단 표면(61)에 걸쳐 하나 또는 그 초과의 가스들을 분배할 수 있는 가스 분배 어셈블리(30)를 포함한다. 가스 분배 어셈블리(30)는 당업자에게 알려져 있는 임의의 적합한 어셈블리일 수 있고, 설명되는 특정 가스 분배 어셈블리들은, 본 개시내용의 범위를 제한하는 것으로 취해지지 않아야 한다. 가스 분배 어셈블리(30)의 출력 면(output face)은 기판(60)의 제 1 표면(61)을 향한다.
[0025] 본 개시내용의 실시예들에 대해 사용하기 위한 기판들은 임의의 적합한 기판일 수 있다. 몇몇 실시예들에서, 기판은, 강성(rigid)이고 불연속적(discrete)이며 대체로 평탄한 기판이다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 기판에 대해 언급하는 경우에, "불연속적인"이라는 용어는, 기판이 고정된 치수를 갖는 것을 의미한다. 하나 또는 그 초과의 실시예들의 기판은, 200 mm 또는 300 mm 직경의 실리콘 기판과 같은 반도체 기판이다. 몇몇 실시예들에서, 기판은, 실리콘, 실리콘 게르마늄, 갈륨 비소, 질화 갈륨, 게르마늄, 인화 갈륨, 인화 인듐, 사파이어 및 실리콘 탄화물 중 하나 또는 그 초과이다.
[0026] 가스 분배 어셈블리(30)는, 기판(60)에 하나 또는 그 초과의 가스 스트림들을 전달하기 위한 복수의 가스 포트들, 및 프로세싱 챔버(100) 밖으로 가스 스트림들을 전달하기 위해 각각의 가스 포트 사이에 배치된 복수의 진공 포트들을 포함한다. 도 1의 실시예에서, 가스 분배 어셈블리(30)는 제 1 전구체 주입기(120), 제 2 전구체 주입기(130), 및 퍼지 가스 주입기(140)를 포함한다. 주입기들(120, 130, 140)은, 메인프레임과 같은 시스템 컴퓨터(미도시)에 의해, 또는 프로그램가능한 논리 제어기와 같은 챔버-특정 제어기에 의해 제어될 수 있다. 전구체 주입기(120)는, 복수의 가스 포트들(125)을 통해, 프로세싱 챔버(100) 내로, 화합물 A의 반응성 전구체의 연속적인(또는 펄스) 스트림을 주입(inject)한다. 전구체 주입기(130)는, 복수의 가스 포트들(135)을 통해, 프로세싱 챔버(100) 내로, 화합물 B의 반응성 전구체의 연속적인(또는 펄스) 스트림을 주입한다. 퍼지 가스 주입기(140)는, 복수의 가스 포트들(145)을 통해, 프로세싱 챔버(100) 내로, 비-반응성 또는 퍼지 가스의 연속적인(또는 펄스) 스트림을 주입한다. 퍼지 가스는 프로세싱 챔버(100)로부터 반응성 재료 및 반응성 부산물들을 제거한다. 퍼지 가스는 전형적으로, 질소, 아르곤, 및 헬륨과 같은 비활성 가스이다. 가스 포트들(145)은, 화합물 B의 전구체로부터 화합물 A의 전구체를 분리시켜서, 전구체들 사이의 교차-오염(cross-contamination)을 피하기 위해, 가스 포트들(125)과 가스 포트들(135) 사이에 배치된다.
[0027] 다른 양상에서, 프로세싱 챔버(100) 내로 전구체들을 주입하기 전에, 원격 플라즈마 소스(미도시)가 전구체 주입기(120) 및 전구체 주입기(130)에 연결될 수 있다. 반응성 종의 플라즈마는, 원격 플라즈마 소스 내의 화합물에 전기장을 인가함으로써 생성될 수 있다. 의도된 화합물들을 활성화시킬 수 있는 임의의 전력 소스가 사용될 수 있다. 예를 들어, DC, 무선 주파수(RF), 및 마이크로파(MW) 기반 방전 기법들을 사용하는 전력 소스들이 사용될 수 있다. RF 전력 소스가 사용되는 경우, 이는 용량성으로 또는 유도성으로 커플링될 수 있다. 활성화는 또한, 열 기반 기법, 가스 브레이크다운(gas breakdown) 기법, 고 에너지 광 소스(예를 들어, UV 에너지), 또는 x-레이 소스에 대한 노출에 의해 생성될 수 있다. 예시적인 원격 플라즈마 소스들은, MKS Instruments, Inc. 및 Advanced Energy Industries, Inc.와 같은 벤더(vendor)들로부터 입수가능하다.
[0028] 챔버(100)는, 프로세싱 챔버(100)에 연결된 펌핑 시스템(150)을 더 포함한다. 펌핑 시스템(150)은 일반적으로, 하나 또는 그 초과의 진공 포트들(155)을 통해 프로세싱 챔버(100) 밖으로 가스 스트림들을 진공배기시키도록 구성된다. 진공 포트들(155)은, 가스 스트림들이 기판 표면과 반응한 후에, 프로세싱 챔버(100) 밖으로 가스 스트림들을 진공배기시키고, 전구체들 사이의 교차-오염을 추가로 제한하기 위해, 각각의 가스 포트 사이에 배치된다.
[0029] 챔버(100)는, 각각의 포트 사이에서 프로세싱 챔버(100) 상에 배치된 복수의 파티션(partition)들(160)을 포함한다. 각각의 파티션의 하부 부분은, 기판(60)의 제 1 표면(61) 가까이로 연장되고, 예를 들어, 제 1 표면(61)으로부터 약 0.5 mm 또는 그 초과로 연장된다. 이러한 방식으로, 파티션들(160)의 하부 부분들은, 가스 스트림들이 기판 표면과 반응한 후에, 가스 스트림들이 진공 포트들(155)을 향하여 하부 부분들 주위에서 유동하게 허용하기에 충분한 거리 만큼, 기판 표면으로부터 분리된다. 화살표들(198)은 가스 스트림들의 방향을 표시한다. 파티션들(160)이 가스 스트림들에 대한 물리적인 배리어로서 동작하기 때문에, 파티션들(160)은 또한, 전구체들 사이의 교차-오염을 제한한다. 도시된 배열은 단지 예시적인 것일 뿐이고, 본 개시내용의 범위를 제한하는 것으로 취해지지 않아야 한다. 도시된 가스 분배 시스템은 단지 하나의 가능한 분배 시스템일 뿐이고, 다른 타입들의 샤워헤드들 및 가스 분배 어셈블리들이 채용될 수 있다는 것이 당업자에 의해 이해될 것이다.
[0030] 이러한 종류의 (즉, 다수의 가스들이 동시에 기판을 향하여 별개로 유동되는) 원자 층 증착 시스템들은 공간적인 ALD라고 지칭된다. 동작에 있어서, 기판(60)은, 프로세싱 챔버(100)에 (예를 들어, 로봇에 의해) 전달되고, 프로세싱 챔버 내로의 진입 전에 또는 그 후에, 셔틀(65) 상에 배치될 수 있다. 셔틀(65)은, 가스 분배 어셈블리(30) 아래를(또는 위를) 통과하면서, 프로세싱 챔버(100)를 통해, 트랙(70) 또는 어떤 다른 적합한 이동 메커니즘을 따라 이동된다. 도 1에서 도시된 실시예에서, 셔틀(65)은 챔버를 통해 선형 경로로 이동된다. 하기에서 더 설명되는 바와 같이, 도 2는 웨이퍼들이 캐러셀(carousel) 프로세싱 시스템을 통해 원형 경로로 이동되는 실시예를 도시한다.
[0031] 도 1을 다시 참조하면, 기판(60)이 프로세싱 챔버(100)를 통해 이동함에 따라, 기판(60)의 제 1 표면(61)은, 가스 포트들(125)로부터 유래하는 반응성 가스 A, 및 가스 포트들(135)로부터 유래하는 반응성 가스 B, 및 그 사이의 가스 포트들(145)로부터 유래하는 퍼지 가스에 반복적으로 노출된다. 퍼지 가스의 주입은, 다음의 전구체에 기판 표면(61)을 노출시키기 전에, 이전의 전구체로부터의 반응되지 않은 재료를 제거하도록 설계된다. 다양한 가스 스트림들(예를 들어, 반응성 가스들 또는 퍼지 가스)에 대한 각각의 노출 후에, 가스 스트림들은, 펌핑 시스템(150)에 의해 진공 포트들(155)을 통해 진공배기된다. 진공 포트가 각각의 가스 포트의 양 측들 상에 배치될 수 있기 때문에, 가스 스트림들은 양 측들 상에서 진공 포트들(155)을 통해 진공배기된다. 따라서, 가스 스트림들은, 각각의 가스 포트들로부터, 기판(60)의 제 1 표면(61)을 향하여 수직으로 하방으로 유동하고, 기판 표면(61)에 걸쳐 그리고 파티션들(160)의 하부 부분들 주위에서 유동하고, 마지막으로, 진공 포트들(155)을 향하여 상방으로 유동한다. 이러한 방식으로, 각각의 가스는 기판 표면(61)에 걸쳐 균일하게 분배될 수 있다. 화살표들(198)은 가스 유동의 방향을 표시한다. 기판(60)은 또한, 다양한 가스 스트림들에 노출되면서, 회전될 수 있다. 기판의 회전은 형성된 층들에서의 스트립들의 형성을 방지하는데 유용할 수 있다. 기판의 회전은, 연속적일 수 있거나 또는 불연속적인 단계들로 이루어질 수 있고, 기판이 가스 분배 어셈블리(30) 아래를 통과하고 있는 동안, 또는 기판이 가스 분배 어셈블리(30) 전의 및/또는 후의 영역에 있는 경우에 발생할 수 있다.
[0032] 도 1의 선형 시스템에서, 마지막 가스 포트에 대한 완전한 노출을 보장하기 위해, 가스 분배 어셈블리(30) 후에, 충분한 공간이 일반적으로 제공된다. 기판(60)이 가스 분배 어셈블리(30) 아래를 완전히 통과하였다면, 제 1 표면(61)은 프로세싱 챔버(100)에서의 모든 각각의 가스 포트에 완전히 노출된 것이다. 그 후에, 기판은 반대 방향으로 다시 운반될 수 있거나, 또는 앞으로(forward) 운반될 수 있다. 기판(60)이 반대 방향으로 이동하는 경우에, 기판 표면은, 제 1 노출과 역순으로, 반응성 가스 A, 퍼지 가스, 및 반응성 가스 B에 다시 노출될 수 있다.
[0033] 기판 표면(61)이 각각의 가스에 노출되는 정도는, 예를 들어, 가스 포트로부터 유래하는 각각의 가스의 유량들, 및 기판(60)의 이동의 레이트에 의해 결정될 수 있다. 일 실시예에서, 각각의 가스의 유량들은, 기판 표면(61)으로부터, 흡착된 전구체들을 제거하지 않도록 제어된다. 각각의 파티션 사이의 폭, 프로세싱 챔버(100) 상에 배치된 가스 포트들의 수, 및 기판이 가스 분배 어셈블리를 횡단하여 통과되는 횟수가 또한, 기판 표면(61)이 다양한 가스들에 노출되는 정도를 결정할 수 있다. 결과적으로, 증착된 막의 양 및 품질은 위에서-참조된 요인들을 변화시킴으로써 최적화될 수 있다.
[0034] 프로세스의 설명이, 가스 분배 어셈블리 아래에 포지셔닝된 기판을 향하여 하방으로 가스의 유동을 지향시키는 가스 분배 어셈블리(30)에 대해 이루어졌지만, 이러한 배향(orientation)은 상이할 수 있음이 이해될 것이다. 몇몇 실시예들에서, 가스 분배 어셈블리(30)는 기판 표면을 향하여 상방으로 가스의 유동을 지향시킨다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "횡단하여 통과된(passed across)"이라는 용어는, 기판의 전체 표면이 가스 분배 플레이트로부터의 각각의 가스 스트림에 노출되도록, 기판이 가스 분배 어셈블리의 하나의 측으로부터 다른 측으로 이동된 것을 의미한다. 부가적인 설명의 부재 시에, "횡단하여 통과된"이라는 용어는, 가스 분배 어셈블리들, 가스 유동들, 또는 기판 포지션(position)들의 임의의 특정한 배향을 암시하지 않는다.
[0035] 몇몇 실시예들에서, 셔틀(65)은 다수의 기판들을 운반할 수 있는 서셉터이다. 일반적으로, 서셉터는, 기판에 걸쳐 균일한 온도를 형성하는 것을 돕는다. 서셉터(66)는, (도 1의 배열에 관하여, 좌측에서 우측으로의 그리고 우측에서 좌측으로의) 양 방향들로 이동가능하거나, 또는 (도 2에 관하여) 원형 방향으로 이동가능하다. 서셉터는 기판을 운반하기 위한 상단 표면을 가지며, 가열될 수 있다. 예로서, 서셉터는, 서셉터 아래에 또는 서셉터 바디(body) 내에 배치된, 복사 열 램프들(90), 가열 플레이트, 저항성 코일들, 또는 다른 가열 디바이스들에 의해 가열될 수 있다.
[0036] 도 1은, 개별적인 가스 포트들이 도시된, 프로세싱 챔버의 단면도를 도시한다. 이러한 실시예는, 개별적인 가스 포트들의 폭이 가스 분배 플레이트의 전체 폭에 걸쳐 실질적으로 동일한 선형 프로세싱 시스템, 또는 도 6과 관련하여 더 설명되는 바와 같은, 개별적인 가스 포트들이, 파이 형상과 일치하기 위해 폭을 변화시키는 파이-형상 세그먼트일 수 있다.
[0037] 다수의 가스 주입기들을 갖는 프로세싱 챔버들은, 웨이퍼들이 동일한 프로세스 플로우를 겪도록, 다수의 웨이퍼들을 동시에 프로세싱하기 위해 사용될 수 있다. 예를 들어, 도 2에 도시된 바와 같이, 프로세싱 챔버(100)는 4개의 가스 분배 어셈블리들(30) 및 4개의 기판들(60)을 갖는다. 프로세싱의 초기에, 기판들(60)은 가스 분배 어셈블리들(30) 사이에 포지셔닝될 수 있다. 45°만큼 캐러셀의 서셉터(66)를 회전시키는 것은, 각각의 기판(60)이, 막 증착을 위해 주입기 어셈블리(30)로 이동되도록 초래할 것이다. 이는 도 2에 도시된 포지션(position)이다. 부가적인 45°회전은 기판들(60)을 가스 분배 어셈블리들(30)로부터 벗어나게 이동시킬 것이다. 공간적인 ALD 주입기들의 경우, 주입기 어셈블리에 대한 웨이퍼의 이동 동안, 웨이퍼 상에 막이 증착된다. 몇몇 실시예들에서, 서셉터(66)는, 기판들(60)이 가스 분배 어셈블리들(30) 아래에서 정지하지 않도록 회전된다. 기판들(60) 및 가스 분배 어셈블리들(30)의 수는 동일할 수 있거나 또는 상이할 수 있다. 몇몇 실시예들에서, 프로세싱되고 있는 웨이퍼들의 수는 가스 분배 어셈블리들의 수와 동일하다. 하나 또는 그 초과의 실시예들에서, 프로세싱되고 있는 웨이퍼들의 수는, 가스 분배 어셈블리들의 수의 정수 배수이다. 예를 들어, 4개의 가스 분배 어셈블리들이 존재하는 경우, 프로세싱되고 있는 4x개의 웨이퍼들이 존재하고, 여기서, x는 1과 동등한 또는 그 초과의 정수 값이다.
[0038] 도 2에 도시된 프로세싱 챔버(100)는 단지 하나의 가능한 구성을 표현하는 것일 뿐이고, 본 개시내용의 범위를 제한하는 것으로 취해지지 않아야 한다. 여기에서, 프로세싱 챔버(100)는 복수의 가스 분배 어셈블리들(30)을 포함한다. 도시된 실시예에서, 프로세싱 챔버(100) 둘레에 균등하게 이격된 4개의 가스 분배 어셈블리들(30)이 존재한다. 도시된 프로세싱 챔버(100)는 팔각형이지만, 당업자라면, 이는 하나의 가능한 형상이며 본 개시내용의 범위를 제한하는 것으로 취해지지 않아야 한다는 것을 이해할 것이다. 부가적으로, 각각의 세그먼트는, 동일한 세그먼트로부터 다수의 상이한 반응성 가스들이 유동하면서, 공간적인 타입 배열로 가스들을 전달하도록 구성될 수 있거나, 또는 단일 반응성 가스, 또는 반응성 가스들의 혼합물을 전달하도록 구성될 수 있다.
[0039] 프로세싱 챔버(100)는, 둥근 서셉터(66) 또는 서셉터 어셈블리로서 도시된 기판 지지 장치를 포함한다. 기판 지지 장치 또는 서셉터(66)는, 가스 분배 어셈블리들(30) 각각 아래에서 복수의 기판들(60)을 이동시킬 수 있다. 로드 락(82)은, 기판들(60)이 챔버(100) 내로 로딩되도록/챔버(100)로부터 언로딩되도록 허용하기 위해, 프로세싱 챔버(100)의 측면에 연결될 수 있다.
[0040] 프로세싱 챔버(100)는, 복수의 가스 분배 어셈블리들(30) 각각 또는 복수의 가스 분배 어셈블리들(30) 중 임의의 것 사이에 포지셔닝된, 복수의 제 1 처리 스테이션들(80), 또는 제 1 처리 스테이션들(80)의 세트를 포함할 수 있다. 몇몇 실시예들에서, 제 1 처리 스테이션들(80) 각각은 기판(60)에 동일한 처리를 제공한다.
[0041] 처리 스테이션들의 수, 및 상이한 타입들의 처리 스테이션들의 수는, 프로세스에 따라 변화될 수 있다. 예를 들어, 가스 분배 어셈블리들(30) 사이에 포지셔닝된, 1개, 2개, 3개, 4개, 5개, 6개, 7개, 또는 그 초과의 처리 스테이션들이 존재할 수 있다. 각각의 처리 스테이션들은 독립적으로, 처리 스테이션의 하나 걸러의 세트마다 상이한 처리를 제공할 수 있거나, 또는 동일한 타입 및 상이한 타입들의 처리들의 혼합이 존재할 수 있다. 몇몇 실시예들에서, 개별적인 처리 스테이션들 중 하나 또는 그 초과는, 다른 개별적인 처리 스테이션들 중 하나 또는 그 초과와 상이한 처리를 제공한다. 도 2에 도시된 실시예가, 공간들(이러한 공간들은 몇몇 타입의 처리 스테이션을 포함할 수 있음)을 중간에 갖는 4개의 가스 분배 어셈블리들을 도시하고 있지는 하지만, 프로세싱 챔버는, 중간에 가스 커튼들을 갖는 8개의 가스 분배 어셈블리들을 갖도록 용이하게 구체화될(incorporated) 수 있음이 당업자에 의해 이해될 것이다.
[0042] 처리 스테이션들은, 기판, 기판 상의 막, 또는 서셉터 어셈블리에 임의의 적합한 타입의 처리를 제공할 수 있다. 예를 들어, 이는, UV 램프들, 플래시 램프들, 플라즈마 소스들, 및 가열기들이다. 그 후에, 웨이퍼들은, 가스 분배 어셈블리들(30)에 대한 포지션들과, 예를 들어 웨이퍼에 플라즈마를 전달하는 샤워헤드에 대한 포지션 사이에서 이동된다. 플라즈마 스테이션은 처리 스테이션(80)이라고 지칭된다. 하나 또는 그 초과의 예에서, 실리콘 질화물 막들은, 각각의 증착 층 후에, 플라즈마 처리로 형성될 수 있다. 이론적으로, ALD 반응은, 표면이 포화되는 한, 자기-제한적(self-limiting)이므로, 증착 가스에 대한 부가적인 노출은 막을 손상시키지 않을 것이다.
[0043] 캐러셀의 회전은 연속적일 수 있거나 또는 불연속적일 수 있다. 연속적인 프로세싱에서, 웨이퍼들은, 이들이 주입기들 각각에 차례로 노출되도록, 계속 회전한다. 불연속적인 프로세싱에서, 웨이퍼들은, 주입기 영역으로 이동되고 정지될 수 있고, 그 후에, 주입기들 사이의 영역(84)으로 이동되고 정지될 수 있다. 예를 들어, 캐러셀은, 웨이퍼들이 주입기-간(inter-injector) 영역으로부터 주입기를 횡단하고(또는, 주입기 근처에서 정지하고), 기판이 다시 멈출 수 있는 다음 주입기-간 영역으로 이동하도록, 회전할 수 있다. 주입기들 사이에서 멈추는 것은, 각각의 층 증착 사이의 부가적인 프로세싱 단계들(예를 들어, 플라즈마에 대한 노출)을 위한 시간을 제공할 수 있다.
[0044] 몇몇 실시예들에서, 프로세싱 챔버는 복수의 가스 커튼들(40)을 포함한다. 각각의 가스 커튼(40)은, 가스 분배 어셈블리들(30)로부터의 프로세싱 가스들의 이동이 가스 분배 어셈블리 영역들로부터 이동하는 것, 및 처리 스테이션들(80)로부터의 가스들이 처리 스테이션 영역들로부터 이동하는 것을 방지하거나 또는 최소화하기 위한 배리어를 생성한다. 가스 커튼(40)은, 개별적인 프로세싱 섹션들을 인접한 섹션들로부터 격리시킬 수 있는, 가스 및 진공 스트림들의 임의의 적합한 조합을 포함할 수 있다. 몇몇 실시예들에서, 가스 커튼(40)은 퍼지(또는 비활성) 가스 스트림이다. 하나 또는 그 초과의 실시예들에서, 가스 커튼(40)은 프로세싱 챔버로부터 가스들을 제거하는 진공 스트림이다. 몇몇 실시예들에서, 가스 커튼(40)은, 순서대로, 퍼지 가스 스트림, 진공 스트림, 및 퍼지 가스 스트림이 존재하도록 하는, 퍼지 가스 및 진공 스트림들의 조합이다. 하나 또는 그 초과의 실시예들에서, 가스 커튼(40)은, 순서대로, 진공 스트림, 퍼지 가스 스트림, 및 진공 스트림이 존재하도록 하는, 진공 스트림들 및 퍼지 가스 스트림들의 조합이다. 도 2에 도시된 가스 커튼들(40)은 처리 스테이션들(80)과 가스 분배 어셈블리들(30) 각각 사이에 포지셔닝되지만, 커튼들은, 프로세싱 경로를 따르는 임의의 지점 또는 지점들에 포지셔닝될 수 있다는 것이 이해될 것이다.
[0045] 도 3은, 주입기들이라고 또한 지칭되는 가스 분배 어셈블리(220), 및 서셉터 어셈블리(230)를 포함하는 프로세싱 챔버(200)의 실시예를 도시한다. 이러한 실시예에서, 서셉터 어셈블리(230)는 강성 바디(body)이다. 몇몇 실시예들의 강성 바디는 0.05 mm 이하의 드룹(droop) 허용오차를 갖는다. 액추에이터들(232)은, 예를 들어, 서셉터 어셈블리(230)의 외측 직경 영역에서의 3개의 위치들에 배치될 수 있다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "외측 직경" 및 "내측 직경"이라는 용어들은, 각각, 외측 주변 에지 및 내측 에지 근처의 영역들을 지칭한다. 외측 직경은 서셉터 어셈블리(230)의 말단 외측 에지(예를 들어, 샤프트(240) 근처)에서의 특정 포지션에 대한 것이 아니고, 서셉터 어셈블리(230)의 외측 에지(231) 근처의 영역에 대한 것이다. 이는, 도 3에서, 액추에이터들(232)의 배치로부터 볼 수 있다. 액추에이터들(232)의 수는, 1개로부터, 이용가능한 물리적인 공간 내에서 적합할 임의의 수까지 변화될 수 있다. 몇몇 실시예들은, 외측 직경 영역(231)에 포지셔닝된 액추에이터들(232)의 2개, 3개, 4개, 또는 5개의 세트들을 갖는다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "액추에이터"라는 용어는, 서셉터 어셈블리(230), 또는 서셉터 어셈블리(230)의 일부를 가스 분배 어셈블리(220)를 향하여, 또는 가스 분배 어셈블리(220)로부터 멀어지게 이동시킬 수 있는 임의의 단일 또는 다중-컴포넌트 메커니즘을 지칭한다. 예를 들어, 액추에이터들(232)은, 서셉터 어셈블리(230)가 가스 분배 어셈블리(220)에 대해 실질적으로 평행하도록 보장하기 위해 사용될 수 있다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 이와 관련하여 사용되는 "실질적으로 평행한"이라는 용어는, 컴포넌트들의 평행성이 컴포넌트들 사이의 거리에 관하여 5 % 초과만큼 변화되지 않는 것을 의미한다.
[0046] 액추에이터들(232)로부터 서셉터 어셈블리(230)에 압력이 가해지면, 서셉터 어셈블리(230)는 레벨링될(levelled) 수 있다. 액추에이터들(232)에 의해 압력이 가해지면, 갭(210)의 거리는, 약 0.1 mm 내지 약 2.0 mm의 범위 내에 있도록, 또는 약 0.2 mm 내지 약 1.8 mm의 범위에 있도록, 또는 약 0.3 mm 내지 약 1.7 mm의 범위에 있도록, 또는 약 0.4 mm 내지 약 1.6 mm의 범위에 있도록, 또는 약 0.5 mm 내지 약 1.5 mm의 범위에 있도록, 또는 약 0.6 mm 내지 약 1.4 mm의 범위에 있도록, 또는 약 0.7 mm 내지 약 1.3 mm의 범위에 있도록, 또는 약 0.8 mm 내지 약 1.2 mm의 범위에 있도록, 또는 약 0.9 mm 내지 약 1.1 mm의 범위에 있도록, 또는 약 1 mm이도록, 설정될 수 있다.
[0047] 서셉터 어셈블리(230)는 가스 분배 어셈블리(220) 아래에 포지셔닝된다. 서셉터 어셈블리(230)는, 상단 표면(241), 및 선택적으로, 상단 표면(241) 내의 적어도 하나의 오목부(243)를 포함한다. 오목부(243)는, 프로세싱되고 있는 웨이퍼들(260)의 형상 및 크기에 따라, 임의의 적합한 형상 및 크기일 수 있다. 도시된 실시예에서, 오목부(243)는 오목부(243)의 외측 주변 에지 주위에 스텝(step) 영역을 갖는다. 스텝들은 웨이퍼(260)의 외측 주변 에지를 지지하도록 크기가 정해질 수 있다. 스텝들에 의해 지지되는, 웨이퍼(260)의 외측 주변 에지의 정도(amount)는, 예를 들어, 웨이퍼의 배면 상에 이미 존재하는 피처들의 존재, 및 웨이퍼의 두께에 따라, 변화될 수 있다.
[0048] 몇몇 실시예들에서, 도 3에 도시된 바와 같이, 서셉터 어셈블리(230)의 상단 표면(241) 내의 오목부(243)는, 오목부(243) 내에 지지되는 웨이퍼(260)가 서셉터 어셈블리(230)의 상단 표면(241)과 실질적으로 동일 평면 상에 있는 상단 표면(261)을 갖도록, 크기가 정해진다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 동일 평면 상"이라는 용어는, 웨이퍼의 상단 표면과 서셉터 어셈블리의 상단 표면이 ±0.2 mm 내에서 동일 평면 상에 있음을 의미한다. 몇몇 실시예들에서, 상단 표면들은, ±0.15 mm, ±0.10 mm, 또는 ±0.05 mm 내에서 동일 평면 상에 있다.
[0049] 도 3의 서셉터 어셈블리(230)는, 서셉터 어셈블리(230)를 리프팅할 수 있고, 하강시킬 수 있고, 회전시킬 수 있는 지지 포스트(240)를 포함한다. 서셉터 어셈블리(230)는, 지지 포스트(240)의 중심부 내에 가열기, 또는 가스 라인들, 또는 전기 컴포넌트들을 포함할 수 있다. 지지 포스트(240)는, 서셉터 어셈블리(230)를 대략적인 포지션으로 이동시켜서, 서셉터 어셈블리(230)와 가스 분배 어셈블리(220) 사이의 갭을 증가시키거나 또는 감소시키는 주된 수단일 수 있다. 그 후에, 액추에이터들(232)은, 미리 결정된 갭을 생성하기 위해, 서셉터 어셈블리의 포지션에 대한 마이크로-조정들을 행할 수 있다.
[0050] 도 3에 도시된 프로세싱 챔버(200)는, 서셉터 어셈블리(230)가 복수의 웨이퍼들(260)을 홀딩(hold)할 수 있는 캐러셀-타입 챔버이다. 가스 분배 어셈블리(220)는 복수의 별개의 주입기 유닛들(221)을 포함할 수 있고, 각각의 주입기 유닛(221)은, 웨이퍼가 주입기 유닛(221) 아래로 이동됨에 따라, 웨이퍼(260) 상에 막, 또는 막의 파트(part)를 증착할 수 있다. 도 4는, 캐러셀-타입 프로세싱 챔버(200)의 투시도를 도시한다. 2개의 파이-형상 주입기 유닛들(221)이, 서셉터 어셈블리(230) 위에 그리고 서셉터 어셈블리(230)의 대략적으로 대향하는 측들 상에 포지셔닝된 것으로 도시된다. 이러한 수의 주입기 유닛들(221)은 단지 예시적인 목적들을 위해 도시된다. 더 많거나 또는 더 적은 주입기 유닛들(221)이 포함될 수 있다는 것이 이해될 것이다. 몇몇 실시예들에서, 서셉터 어셈블리(230)의 형상과 일치하는 형상을 형성하기에 충분한 수의 파이-형상 주입기 유닛들(221)이 존재한다. 몇몇 실시예들에서, 개별적인 파이-형상 주입기 유닛들(221) 각각은, 다른 주입기 유닛들(221) 중 어느 것에도 영향을 미치지 않으면서, 독립적으로 이동, 제거, 및/또는 교체될 수 있다. 예를 들어, 로봇이 웨이퍼들(260)을 로딩/언로딩하기 위해 서셉터 어셈블리(230)와 가스 분배 어셈블리(220) 사이의 영역을 액세스하게 허용하도록, 하나의 세그먼트가 상승될 수 있다.
[0051] 도 5는, 서셉터 어셈블리(230)가 강성 바디가 아닌, 본 개시내용의 다른 실시예를 도시한다. 몇몇 실시예들에서, 서셉터 어셈블리(230)는, 약 0.1 mm 이하, 또는 약 0.05 mm 이하, 또는 약 0.025 mm 이하, 또는 약 0.01 mm 이하의 드룹 허용오차를 갖는다. 여기서, 서셉터 어셈블리(230)의 내측 직경 영역(239) 및 외측 직경 영역(231)에 배치되는 액추에이터들(232)이 존재한다. 액추에이터들(232)은, 서셉터 어셈블리(230)의 내측 및 외측 주변부 주위의 임의의 적합한 수의 장소(place)들에 포지셔닝될 수 있다. 몇몇 실시예들에서, 액추에이터들(232)은, 외측 직경 영역(231) 및 내측 직경 영역(239) 양자 모두에서의 3개의 위치들에 배치된다. 외측 직경 영역(231) 및 내측 직경 영역(239) 양자 모두에서의 액추에이터들(232)은 서셉터 어셈블리(230)에 압력을 가한다.
[0052] 도 6은 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 가스 분배 어셈블리(220)를 도시한다. 대체로 원형의 가스 분배 어셈블리(220)의 일부 또는 세그먼트의 전방 면(225)이 도시된다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "대체로 원형의(generally circular)"라는 용어는 컴포넌트의 전체적인 형상이 80°미만의 임의의 각도들을 갖지 않음을 의미한다. 따라서, "대체로 원형"은 정사각형, 오각형, 육각형, 7각형, 8각형 등을 포함하는 임의의 형상을 가질 수 있다. "대체로 원형"은 형상을 원형 또는 완전한 다각형으로 제한하는 것으로 취해지지 않아야 하며, 타원형 및 불완전한 다각형들을 또한 포함할 수 있다. 가스 분배 어셈블리(220)는 전방 면(225)에 복수의 세장형 가스 포트들(125, 135, 145)을 포함한다. 가스 포트들은 가스 분배 어셈블리(220)의 내측 직경 영역(239)으로부터 외측 직경 영역(231)로 연장한다.
[0053] 개별적인 포트들의 형상 또는 종횡비는, 가스 분배 어셈블리 세그먼트의 형상 또는 종횡비에 비례할 수 있거나, 또는 가스 분배 어셈블리 세그먼트의 형상 또는 종횡비와 상이할 수 있다. 몇몇 실시예들에서, 개별적인 포트들은, 경로(272)를 따라 가스 분배 어셈블리(220)를 횡단하여 통과하는 웨이퍼의 각각의 지점이, 각각의 가스 포트 아래에서 대략 동일한 체류 시간을 갖게 되도록, 형상화된다(shaped). 기판들의 경로는 가스 포트들에 대해 수직적일 수 있다. 몇몇 실시예들에서, 가스 분배 어셈블리들 각각은, 기판에 의해 횡단되는 경로에 대해 실질적으로 수직적인 방향으로 연장되는 복수의 세장형 가스 포트들을 포함한다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 수직적인"이라는 용어는, 이동의 대략적인 방향이, 가스 포트들의 축에 대해 대략적으로 수직적인 것을 의미한다. 파이-형상 가스 포트의 경우, 가스 포트의 축은, 포트의 폭의 중간-지점이 포트의 길이를 따라 연장되는 것으로서 정의되는 라인인 것으로 고려될 수 있다. 개별적인 파이-형상 세그먼트들 각각은, 단일 반응성 가스를 전달하거나, 또는 다수의 반응성 가스들을 공간적으로 분리시켜서 또는 조합하여(예를 들어, 전형적인 CVD 프로세스에서와 같이) 전달하도록 구성될 수 있다.
[0054] 복수의 가스 포트들은 프로세싱 챔버에 제 1 반응성 가스를 전달하기 위한 제 1 반응성 가스 포트(125) 및 프로세싱 챔버에 퍼지 가스를 전달하기 위한 퍼지 가스 포트(145)를 포함한다. 도 6에 도시된 실시예는 또한, 프로세싱 챔버에 제 2 반응성 가스를 전달하기 위한 제 2 반응성 가스 포트(135)를 포함한다.
[0055] 진공 포트(155)는 제 1 반응성 가스 포트(125) 및 제 2 반응성 가스 포트(135)를 인접하는 퍼지 가스 포트들(145)로부터 분리시킨다. 다르게 설명하면, 진공 포트는, 제 1 반응성 가스 포트(125)와 퍼지 가스 포트(145) 사이에 그리고 제 2 반응성 가스 포트(135)와 퍼지 가스 포트(145) 사이에 포지셔닝된다. 진공 포트들은 프로세싱 챔버로부터 가스들을 진공배기시킨다. 도 6에 도시된 실시예에서, 진공 포트들(155)은 반응성 가스 포트들의 모든 측(side)들 둘레로 연장하며, 그에 따라, 제 1 반응성 가스 포트(125) 및 제 2 반응성 가스 포트(135) 각각의 내측 주변 에지(227) 및 외측 주변 에지(228) 상에 진공 포트(155)의 일부가 있다.
[0056] 사용시, 기판은 경로(272)를 따라 가스 분배 플레이트(220)에 인접하게 통과된다. 통과(transit)시, 기판은, 챔버 내로 또는 챔버 밖으로 유동하는 가스 유동들, 순서 대로, 퍼지 가스 포트(145), 제 1 진공 포트(155a), 제 1 반응성 가스 포트(125), 제 2 진공 포트(155b), 퍼지 가스 포트(145), 제 1 진공 포트(155a), 제 2 반응성 가스 포트(135) 및 제 2 진공 포트(155b)를 마주칠(encounter) 것이다. 제 1 진공 포트(155a) 및 제 2 진공 포트(155b)는 단일 진공 포트(155)로서 연결된 것으로 도시된다.
[0057] 도 7은 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 공간적인 원자 층 증착 챔버의 개략도를 도시한다. 알 수 있는 바와 같이, 챔버 펌핑을 위한 1개의 펌프 및 주입기(가스 분배 어셈블리) 펌핑을 위한 2개의 다른 펌프들이 존재한다. 부가적으로, 하나의 비활성 퍼지 가스가 챔버 용적에 들어가고(go), 몇 개의 상이한 가스들(비활성 가스들 및 반응성 케미컬들)이 가스 분배 어셈블리에 들어간다.
[0058] 챔버 퍼지(205)는 서셉터 조립체와 가스 분배 어셈블리 사이에 위치된 반응 구역 외부의 챔버 공간을 가압하기 위해 챔버 내로 비활성 퍼지 가스를 유동시킨다. 챔버의 압력을 제어하기 위해, 챔버 스로틀 밸브가 사용된다. 챔버 내의 압력은 게이지(206)를 사용하여 측정될 수 있다.
[0059] 케미컬 전구체(chemical precursor)(A 및 B)가 주입기(가스 분배 어셈블리) 내의 독립적인 케미컬 채널들을 통해 챔버 내로 유동된다. 각각의 케미컬 채널은 진공 펌핑 채널들에 의해 둘러싸인다. 비활성 가스 퍼지 커튼이 반응성 가스들의 분리를 유지하기 위해 케미컬 채널들 사이에 포지셔닝된다.
[0060] 펌핑 채널(A 및 B)에 대한 스로틀 밸브 제어는 포어라인(foreline) 압력 제어를 사용할 수 있는데, 이는 스로틀 밸브들이 스로틀 밸브의 하류에서 압력 설정점에 도달하기 위한 각도들을 조정한다는 것을 의미한다. 이는, 스로틀 밸브의 상류에서의 압력이 제어되는 전형적인 압력 제어 메커니즘과 반대이다. A 및 B 펌핑 라인들에 대한 하류/포어라인 압력 제어는, 각각의 펌핑 채널로부터 펌핑되는 가스들의 양이 그 채널 내로 유동하는 가스의 양과 동일하도록 보장하는 것을 도울 수 있고, 비활성 분리 가스의 파트(part)를 포함할 수 있다. 전체적으로, 가스 분배 어셈블리를 통해 챔버 내로 유동하는 가스들의 양은 펌프들(A 및 B)에 의해 챔버로부터 펌핑되어야 한다.
[0061] 포어라인 압력 판독치(reading)와 해당 펌프 내로 유동하는 실제 가스 간의 전형적인 관계는 기본적으로 직선이다. 이는 질량 보존의 법칙과 일치한다. 이론적으로, 펌프에 대한 가스 처리량(Q, Torr L/s)은 펌프 포어라인 압력(P, torr)과 선형 관계를 갖고, 기울기는 펌핑 속도(C, L/s)이며, 여기서 Q = C x P 이다. 실제적으로, 곡선 형상은 진공 펌프 속도, 가스 종(gas species), 포어라인 압력 게이지의 물리적 위치, 및 포어라인 압력 게이지에 의해 검출되는 실제 가스 온도 등에 의존할 것이다. 본 개시내용의 하나 또는 그 초과의 실시예들은 유리하게는 일관된 가스 압력 제어를 제공한다. 몇몇 실시예들에서, 프로세싱 시스템은 다양한 챔버 조건들에 대한 데이터 곡선을 자동으로 모니터링하고, 가스들의 실제 유동에 기초하여 포어라인 압력을 제어한다. 하나 또는 그 초과의 실시예들은 유리하게는, 프로세싱 챔버의 프로세싱 영역들에서의 가스 압력을 관리하기 위해 포어라인 압력의 제어를 제공한다. 본 개시내용의 하나 또는 그 초과의 실시예들은 유리하게는, 가스상 반응들을 최소화하기 위해 가스 유동들의 제어를 제공한다.
[0062] 여전히 도 7을 참조하면, 본 개시내용의 하나 또는 그 초과의 실시예들은, 챔버 용적(202)을 정의하는 벽들(201)을 갖는 프로세싱 챔버(200)에 관한 것이다. 도 7에 도시된 실시예는, 도 4에 도시된 것과 마찬가지로, 대체로 원형의 프로세싱 시스템의 개략적 표현이다. 프로세싱 챔버(200)는 서셉터 어셈블리(230) 및 가스 분배 어셈블리(220)를 포함한다. 적어도 하나의 제 1 프로세싱 영역(321) 및 적어도 하나의 제 2 프로세싱 영역(322)이 존재한다. 도 7에 도시된 바와 같이, 제 1 프로세싱 영역(321)은 A로 나타낸 제 1 프로세스 가스와 연관되고, 제 2 프로세싱 영역(322)은 B로 나타낸 제 2 프로세스 가스와 연관된다. 당업자라면, 이는 단지 하나의 가능한 배열을 예시할 뿐이고, 본 개시내용의 범위를 제한하는 것으로 취해지지 않아야 한다는 것을 이해할 것이다. 제 1 프로세싱 영역(321) 및 제 2 프로세싱 영역(322) 각각은 가스 분배 어셈블리(220)와 서셉터 어셈블리(230) 사이에 포지셔닝된다. 제 1 프로세싱 영역(321) 및 제 2 프로세싱 영역(322) 각각은 가스 커튼(327)에 의해 분리된다. 가스 커튼은, 제 1 프로세스 가스(A)와 제 2 프로세스 가스(B)의 혼합을 막거나 최소화하는, 가스들과 진공의 임의의 적합한 조합일 수 있다.
[0063] 챔버 배기 시스템(340)이 챔버 용적(202)과 유체 연통한다. 챔버 배기 시스템(340)은 챔버 용적 내에서 감압(reduced pressure) 상태를 유지한다. 챔버 용적(202) 내의 압력은, 제 1 프로세싱 영역(321) 및 제 2 프로세싱 영역(322) 내의 압력과 동일하거나 또는 상이할 수 있다. 챔버 배기 시스템(340)은 프로세싱 챔버(200)의 하류에 포지셔닝되는 챔버 배기 스로틀 밸브(341)를 포함한다. 본원에서 사용되는 바와 같이, "상류(upstream)" 및 "하류(downstream)"라는 용어들은 프로세싱 챔버의 내부로부터의 배기 가스 스트림의 유동에 따른 상대적인 방향들을 지칭한다. 챔버 배기 스로틀 밸브(341)의 하류에는 진공 소스(399)가 있다. 진공 소스(399)는, 하우스 진공(house vacuum) 또는 개별적인 진공 펌프를 포함하는 (그러나 이에 제한되지 않음) 임의의 적합한 진공 소스일 수 있다.
[0064] 프로세싱 챔버는 적어도 하나의 제 1 프로세싱 영역(321)과 유체 연통하는 제 1 배기 시스템(350)을 포함한다. 도 7에 도시된 제 1 배기 시스템(350)은 제 1 프로세스 가스 A 포트(125)의 양측 상에 연장되는 진공 포트(155)를 포함한다. 도 6에 도시된 바와 같이, 진공 포트는 프로세스 가스 A 포트(125)의 4개의 모든 측들 주위에 연장될 수 있다. 제 1 배기 시스템(350)은 제 1 스로틀 밸브(351) 및 제 1 압력 게이지(352)를 포함한다. 도 7에 도시된 실시예는 제 1 스로틀 밸브(351)의 하류에 포지셔닝된 제 1 압력 게이지(352)를 갖지만, 이는 단지 하나의 가능한 배열을 표현하는 것일 뿐이다. 제 1 배기 시스템(350)은, 진공 소스(399)와 같거나 또는 상이할 수 있는 적합한 진공 소스에 연결된다.
[0065] 프로세싱 챔버(200)는 적어도 하나의 제 2 프로세싱 영역(322)과 유체 연통하는 제 2 배기 시스템(360)을 포함한다. 도 7에 도시된 제 2 배기 시스템(360)은 제 2 프로세스 가스 B 포트(135)의 양측 상에 연장되는 진공 포트(155)를 포함한다. 도 6에 도시된 바와 같이, 진공 포트는 프로세스 가스 B 포트(135)의 4개의 모든 측들 주위에 연장될 수 있다. 제 2 배기 시스템(360)은 제 2 스로틀 밸브(361) 및 제 2 압력 게이지(362)를 포함한다. 도 7에 도시된 실시예는 제 2 스로틀 밸브(361)의 하류에 포지셔닝된 제 2 압력 게이지(362)를 갖지만, 이는 단지 하나의 가능한 배열을 표현하는 것일 뿐이다. 제 2 배기 시스템(360)은, 진공 소스(399)와 같거나 또는 상이할 수 있는 적합한 진공 소스에 연결된다.
[0066] 제어기(390)가 제 1 배기 시스템(350) 및 제 2 배기 시스템(360)과 통신한다. 제어기(390)는 제 1 압력 게이지(352)로부터의 신호들에 응답하여 제 1 스로틀 밸브(351)를 제어할 수 있고, 제 2 압력 게이지(362)로부터의 신호들에 응답하여 제 2 스로틀 밸브(361)를 제어할 수 있다. 몇몇 실시예들에서, 제어기(390)는, 압력 게이지들로부터의 측정치(measurement)들에 응답하여 스로틀 밸브들을 개방/폐쇄시킴으로써, 제 1 프로세싱 영역(321) 및 제 2 프로세싱 영역(322) 내의 가스들의 분리를 유지한다. 제어기(390)는, 하드웨어, 펌웨어 및/또는 소프트웨어 중 하나 또는 그 초과를 포함하는 임의의 적합한 제어기일 수 있다. 몇몇 실시예들에서, 제어기(390)는, 중앙 처리 장치, 메모리, 저장소(storage) 및/또는 프로세싱 챔버와 연관된 물리적 컴포넌트들과 통신하도록 구성된 회로들 중에서 하나 또는 그 초과를 갖는 컴퓨터를 포함한다. 예를 들어, 컴퓨터는, 사용자로 하여금 가스 압력들, 유량들 및 압력차 허용오차들을 포함하는 (그러나 이에 제한되지 않음) 프로세싱 파라미터들을 입력하도록 허용하는 컴퓨터 판독가능 매체 상의 컴퓨터 판독가능 명령들을 포함할 수 있다.
[0067] 도 8a는 도 7에 따른 프로세싱 챔버의 개략적 표현을 도시한다. 제 1 프로세스 가스(A) 및 제 2 프로세스 가스(B)는 가스 포트들(125, 135)의 경계들을 나타내는 정사각형에 의해 개략적으로 표현된다. 진공 포트(155)(또한 정사각형으로 표현됨)가 각각의 가스 포트들(125, 135) 주위에 도시된다. 이러한 개략적 표현은 단지 예시적인 것이며, 가스 포트들 또는 진공 포트들의 형상 또는 폭을 암시하거나 제한하는 것으로 취해지지 않아야 한다. 도 8a에 도시된 실시예는 제 1 스로틀 밸브(351)의 하류에 포지셔닝된 제 1 압력 게이지(352) 및 제 2 스로틀 밸브(361)의 하류에 포지셔닝된 제 2 압력 게이지(362)를 갖는다. 도시되지는 않았지만, 도 7의 것과 같은 제어기가 또한 포함될 수 있음이 이해될 것이다.
[0068] 도 7 및 8a에 도시된 실시예들에서, 제어기(390)는 스로틀 밸브들(351, 361)의 하류의 배기 라인에서의 압력을 모니터링한다. 배기 라인에서의 압력이 너무 낮으면, 프로세스 영역에서의 압력이 너무 높고, 제어기는 적절한 스로틀 밸브가 추가로(further) 개방되게 할 것이다. 배기 라인에서의 압력이 너무 높으면, 프로세스 영역에서의 압력이 너무 낮고, 제어기는 적절한 스로틀 밸브를 부분적으로 폐쇄시킬 것이다. 제어기(390)는 또한, 챔버 용적(202)을 격리시키기 위해 스로틀 밸브들을 완전히 폐쇄시킬 수 있다.
[0069] 도 8b에 도시된 실시예는 제 1 스로틀 밸브(351) 상류에 포지셔닝된 제 1 압력 게이지(352) 및 제 2 스로틀 밸브(361) 상류에 포지셔닝된 제 2 압력 게이지(362)를 갖는다. 도 8b에 도시된 실시예에서, 제어기는 스로틀 밸브들 상류의 배기 라인에서의 압력을 모니터링한다. 여기서, 압력 게이지들은 스로틀 밸브의 프로세싱 챔버 측에 포지셔닝된다. 배기 라인에서의 압력이 너무 낮으면, 프로세스 영역에서의 압력이 너무 낮고, 제어기는 적절한 스로틀 밸브가 폐쇄 포지션(closed position) 쪽으로 이동하게 할 것이다. 배기 라인에서의 압력이 너무 높으면, 프로세스 영역에서의 압력이 너무 높고, 제어기는 적절한 스로틀 밸브가 추가로 개방되게 할 것이다.
[0070] 채용되는 압력 게이지들은 임의의 적합한 압력 게이지들일 수 있다. 몇몇 실시예들에서, 압력 게이지들은, 완전 진공 또는 프로세싱 챔버 외부의 조건들을 기준으로 하는(referenced) 절대 게이지들이다. 몇몇 실시예들에서, 차동 압력 게이지(differential pressure gauge)들이 사용된다. 차동 압력 게이지는 2개의 지점들 간의 압력의 차이를 측정한다.
[0071] 몇몇 실시예들에서, 압력 게이지들은 제어기의 상류에 있으며, 제어기는 제 1 압력 게이지에 대한, 제 2 압력 게이지에 의해 측정되는 압력의 차이를 결정한다. 하나 또는 그 초과의 실시예들에서, 도 8c에 도시된 바와 같이, 제 1 압력 게이지는 절대 게이지고, 제 2 압력 게이지는 제 1 배기 시스템 내의 압력에 대한 압력을 측정하는 차동 압력 게이지이다.
[0072] 몇몇 실시예들에서, 제어기(390)는, 제 1 프로세싱 영역(321)과 제 2 프로세싱 영역(322) 간의 절대 압력차가 최대 약 5 torr 이도록, 프로세싱 영역들에서의 가스 유동을 조정한다. 몇몇 실시예들에서, 제어기(390)는, 차동 또는 절대의, 압력들 간의 차이가 약 5 torr, 4 torr, 3 torr 또는 2 torr와 같도록 또는 그 미만이도록, 프로세싱 영역들 중 하나 또는 그 초과의 프로세싱 영역들에서의 가스 유동을 조정하도록 구성된다.
[0073] 도 9는 프로세싱 챔버(200)의 다른 실시예를 도시한다. 프로세싱 챔버(200)는 제 1 프로세스 가스 A 포트에 인접하는 제 1 프로세싱 영역(321), 제 2 프로세스 가스 B 포트에 인접하는 제 2 프로세싱 영역(322), 제 3 프로세스 가스 C 포트에 인접하는 제 3 프로세싱 영역(323), 및 제 4 프로세스 가스 D 포트에 인접하는 제 4 프로세싱 영역(324)을 포함한다. 프로세싱 영역들은 각각의 가스 포트들에 인접하는 것으로 설명되지만, 프로세스 영역은 가스 분배 어셈블리와 서셉터 어셈블리 사이에 있다는 것이 이해될 것이다. 적어도 하나의 제 1 프로세싱 영역(321), 적어도 하나의 제 2 프로세싱 영역(322), 적어도 하나의 제 3 프로세싱 영역(323) 및 적어도 하나의 제 4 프로세싱 영역(324) 각각은 가스 커튼들(325)에 의해 분리된다.
[0074] 제 3 배기 시스템(370)이 적어도 하나의 제 3 프로세싱 영역(323)과 유체 연통한다. 제 3 배기 시스템(370)은 제 3 스로틀 밸브(371) 및 제 3 압력 게이지(372)를 포함한다. 제 4 배기 시스템(380)은 제 4 프로세싱 영역(324)과 유체 연통한다. 제 4 배기 시스템(380)은 제 4 스로틀 밸브(381) 및 제 4 압력 게이지(382)를 포함한다.
[0075] 제어기(미도시)가, 제 1 압력 게이지(352), 제 2 압력 게이지(362), 제 3 압력 게이지(372) 및 제 4 압력 게이지(382)로부터의 신호들에 응답하여 스로틀 밸브들(351, 361, 371, 381)을 제어하기 위해, 제 1 배기 시스템(350), 제 2 배기 시스템(360), 제 3 배기 시스템(370) 및 제 4 배기 시스템(380)과 통신한다.
[0076] 도 9에 도시된 실시예에서, 제 1 압력 게이지(352)는 제 1 스로틀 밸브(351)의 하류에 있고, 제 2 압력 게이지(362)는 제 2 스로틀 밸브(361)의 하류에 있고, 제 3 압력 게이지(372)는 제 3 스로틀 밸브(371)의 하류에 있으며, 그리고 제 4 압력 게이지(382)는 제 4 스로틀 밸브(381)의 하류에 있다. 도 10에 도시된 실시예에서, 제 1 압력 게이지(352)는 제 1 스로틀 밸브(351)의 상류에 있고, 제 2 압력 게이지(362)는 제 2 스로틀 밸브(361)의 상류에 있고, 제 3 압력 게이지(372)는 제 3 스로틀 밸브(371)의 상류에 있으며, 그리고 제 4 압력 게이지(382)는 제 4 스로틀 밸브(381)의 상류에 있다.
[0077] 몇몇 실시예들에서, 제 1 압력 게이지(352), 제 2 압력 게이지(362), 제 3 압력 게이지(372) 및 제 4 압력 게이지(382) 각각은 절대 게이지들이다. 하나 또는 그 초과의 실시예들에서, 제어기(390)는 제 1 압력 게이지(352)에 대한, 제 2 압력 게이지(362), 제 3 압력 게이지(372) 또는 제 4 압력 게이지(382) 중 하나 또는 그 초과에 의해 측정되는 압력의 차이를 결정한다.
[0078] 도 11은 본 개시내용의 다른 실시예를 도시하며, 여기서, 제 1 압력 게이지(352)는 제 1 스로틀 밸브(351)의 상류에 포지셔닝되는 절대 게이지이며, 그리고 제 2 압력 게이지(362), 제 3 압력 게이지(372) 및 제 4 압력 게이지(382) 각각은 제 1 압력 게이지에 대한 압력차를 측정하는 차동 압력 게이지들이다.
[0079] 도 12는 본 개시내용의 다른 실시예를 도시하며, 여기서, 제 1 압력 게이지(352)는 제 1 스로틀 밸브(351)의 하류에 포지셔닝되는 절대 게이지이고, 제 2 압력 게이지(362)는 제 2 스로틀 밸브(361)의 하류에 포지셔닝되는 절대 게이지이다. 제 3 압력 게이지(372)는 제 1 압력 게이지(352)에 대한 압력차를 측정하는 차동 게이지이다. 제 4 압력 게이지(382)는 제 2 압력 게이지(362)에 대한 압력차를 측정하는 차동 게이지이다. 이러한 종류의 실시예들은, 제 1 프로세스 가스 및 제 3 프로세스 가스가 동일한 경우 그리고 제 2 프로세스 가스 및 제 4 프로세스 가스가 동일한 경우에 사용될 수 있지만, 반드시 그럴 필요는 없다.
[0080] 몇몇 실시예들의 제어기(390)는 프로세싱 영역들 각각에 대해 그리고 프로세싱 용적에 대해 스로틀 밸브들 모두를 제어한다. 몇몇 실시예들에서, 4개의 상이한 프로세싱 영역들(즉, 4개의 상이한 프로세싱 조건들)이 존재하며, 그리고 제어기(390)는, 각각의 영역이 가스 커튼에 의해, 인접하는 영역들로부터 격리되도록, 프로세싱 챔버 용적 및 4개의 모든 프로세싱 영역들에서의 압력을 유지한다.
[0081] 압력 게이지들의 포지션은 스로틀 밸브들의 앞(상류) 또는 뒤(하류)로 변할 수 있다. 압력 게이지가 스로틀 밸브 앞에 있을 때, 몇몇 실시예들에서, 압력 게이지는 프로세싱 영역에 가능한 가깝게 포지셔닝된다.
[0082] 제 1 프로세스 조건들 및 제 2 프로세스 조건들에 대한 노출은, 미리 결정된 두께의 막을 성장시키기 위해 순차적으로 반복될 수 있다. 예를 들어, 배치 프로세싱 챔버는, 제 1 프로세스 조건들을 갖는 2개의 섹션들 및 제 2 프로세스 조건들의 2개의 섹션들을 교번하는 패턴(alternating pattern)으로 포함할 수 있으며, 그에 따라, 프로세싱 챔버의 중심 축을 중심으로 한 기판의 회전은 표면으로 하여금 제 1 및 제 2 프로세스 조건들에 순차적으로 그리고 반복적으로 노출되게 하고, 각각의 노출은 (증착들을 위한) 막 두께가 성장되게 한다.
[0083] 몇몇 실시예들에서, 하나 또는 그 초과의 층들은, 플라즈마 강화 원자 층 증착(PEALD) 프로세스 동안 형성될 수 있다. 플라즈마의 사용은, 표면 반응들이 유리하게 되고 가능성이 있게 되는 여기된 상태로 종을 촉진하기에 충분한 에너지를 제공할 수 있다. 프로세스에 플라즈마를 도입하는 것은 연속적일 수 있거나 또는 펄싱될(pulsed) 수 있다. 몇몇 실시예들에서, 플라즈마 및 전구체들(또는 반응성 가스들)의 순차적인 펄스들이, 층을 프로세싱하기 위해 사용된다. 몇몇 실시예들에서, 시약(reagent)들은, 국부적으로(즉, 프로세싱 구역 내에서), 또는 원격으로(즉, 프로세싱 구역 외부에서) 이온화될 수 있다. 몇몇 실시예들에서, 원격 이온화는, 이온들 또는 다른 에너제틱(energetic) 또는 발광 종이, 증착되는 막과 직접적으로 접촉하지 않도록, 증착 챔버의 상류에서 발생할 수 있다. 몇몇 PEALD 프로세스들에서, 플라즈마는, 프로세싱 챔버 외부에서, 이를테면 원격 플라즈마 생성기 시스템에 의해 생성된다. 플라즈마는, 당업자에게 알려져 있는 임의의 적합한 플라즈마 생성 프로세스 또는 기법을 통해 생성될 수 있다. 예를 들어, 플라즈마는, 마이크로파(MW) 주파수 생성기 또는 무선 주파수(RF) 생성기 중 하나 또는 그 초과에 의해 생성될 수 있다. 플라즈마의 주파수는, 사용되고 있는 특정 반응성 종에 따라 튜닝될(tuned) 수 있다. 적합한 주파수들은 2 MHz, 13.56 MHz, 40 MHz, 60 MHz, 및 100 MHz를 포함하지만, 이에 제한되지 않는다. 본원에서 개시되는 증착 프로세스들 동안 플라즈마들이 사용될 수 있기는 하지만, 플라즈마들이 요구되지 않을 수도 있음을 주목해야 한다. 실제로, 다른 실시예들은, 플라즈마를 이용하지 않는, 매우 온화한(mild) 조건들 하에서의 증착 프로세스들에 관한 것이다.
[0084] 하나 또는 그 초과의 실시예들에 따르면, 기판은, 층을 형성하기 전에, 그리고/또는 층을 형성한 후에, 프로세싱을 받는다. 이러한 프로세싱은, 동일한 챔버에서, 또는 하나 또는 그 초과의 별개의 프로세싱 챔버들에서 수행될 수 있다. 몇몇 실시예들에서, 기판은, 추가적인 프로세싱을 위해, 제 1 챔버로부터 별개의 제 2 챔버로 이동된다. 기판은, 제 1 챔버로부터 별개의 프로세싱 챔버로 직접적으로 이동될 수 있거나, 또는 기판은, 제 1 챔버로부터 하나 또는 그 초과의 이송 챔버들로 이동될 수 있고, 그 후에, 미리 결정된 별개의 프로세싱 챔버로 이동될 수 있다. 따라서, 프로세싱 장치는 이송 스테이션과 연통하는 다수의 챔버들을 포함할 수 있다. 이러한 종류의 장치는 "클러스터 툴" 또는 "클러스터링된 시스템" 등이라고 지칭될 수 있다.
[0085] 일반적으로, 클러스터 툴은, 기판 중심-발견 및 배향, 탈기(degassing), 어닐링, 증착, 및/또는 에칭을 포함하는 다양한 기능들을 수행하는 다수의 챔버들을 포함하는 모듈식 시스템이다. 하나 또는 그 초과의 실시예들에 따르면, 클러스터 툴은, 적어도 제 1 챔버 및 중앙 이송 챔버를 포함한다. 중앙 이송 챔버는, 로드 락 챔버들과 프로세싱 챔버들 사이에서 그리고 이들 간에서 기판들을 셔틀링할 수 있는 로봇을 하우징(house)할 수 있다. 이송 챔버는 전형적으로 진공 조건에서 유지되며, 그리고 기판들을, 하나의 챔버로부터 다른 챔버로, 그리고/또는 클러스터 툴의 전방 단부에 포지셔닝된 로드 락 챔버로 셔틀링하기 위한 중간 스테이지를 제공한다. 본 개시내용에 대해 적응될 수 있는 2개의 잘-알려진 클러스터 툴들은 Centura
Figure 112017034347553-pct00001
및 Endura
Figure 112017034347553-pct00002
이고, 이들 양자 모두는, 캘리포니아, 산타클라라의 Applied Materials, Inc.로부터 입수가능하다. 하지만, 챔버들의 정확한 배열 및 조합은, 본원에서 설명되는 바와 같은 프로세스의 특정 단계들을 수행하는 목적들을 위해 변경될 수 있다. 사용될 수 있는 다른 프로세싱 챔버들은, 순환 층 증착(CLD), 원자 층 증착(ALD), 화학 기상 증착(CVD), 물리 기상 증착(PVD), 에칭, 사전-세정, 화학 세정(chemical clean), RTP와 같은 열 처리, 플라즈마 질화(nitridation), 탈기, 배향, 히드록실화(hydroxylation), 및 다른 기판 프로세스들을 포함하지만, 이에 제한되지 않는다. 클러스터 툴 상의 챔버에서 프로세스들을 수행함으로써, 대기 불순물들에 의한 기판의 표면 오염이, 후속 막을 증착하기 전의 산화 없이, 피해질 수 있다.
[0086] 하나 또는 그 초과의 실시예들에 따르면, 기판은 지속적으로 진공 또는 "로드 락" 조건들 하에 있고, 하나의 챔버로부터 다음 챔버로 이동되는 경우에, 주변 공기에 노출되지 않는다. 따라서, 이송 챔버들은 진공 하에 있고, 진공 압력 하에서 "펌핑 다운(pump down)"된다. 비활성 가스들이 프로세싱 챔버들 또는 이송 챔버들에 존재할 수 있다. 몇몇 실시예들에서, 비활성 가스는, 기판의 표면 상에 층을 형성한 후에, 반응물들의 일부 또는 전부를 제거하기 위해, 퍼지 가스로서 사용된다. 하나 또는 그 초과의 실시예들에 따르면, 퍼지 가스는, 반응물들이 증착 챔버로부터 이송 챔버로 그리고/또는 부가적인 프로세싱 챔버로 이동하는 것을 방지하기 위해, 증착 챔버의 출구에서 주입된다. 따라서, 비활성 가스의 유동은 챔버의 출구에서 커튼을 형성한다.
[0087] 프로세싱 동안, 기판은 가열 또는 냉각될 수 있다. 그러한 가열 또는 냉각은, 기판 지지부(예를 들어, 서셉터)의 온도를 변화시키는 것, 및 가열된 또는 냉각된 가스들을 기판 표면으로 유동시키는 것을 포함하는 (그러한 이에 제한되지 않음) 임의의 적합한 수단에 의해 달성될 수 있다. 몇몇 실시예들에서, 기판 지지부는, 기판 온도를 전도성으로 변화시키도록 제어될 수 있는 가열기/냉각기를 포함한다. 하나 또는 그 초과의 실시예들에서, 채용되는 가스들(반응성 가스들 또는 비활성 가스들)은, 기판 온도를 국부적으로 변화시키도록 가열 또는 냉각된다. 몇몇 실시예들에서, 가열기/냉각기는, 기판 온도를 대류성으로 변화시키기 위해, 챔버 내에서 기판 표면 근처에 포지셔닝된다.
[0088] 기판은 또한, 프로세싱 동안, 정지되어 있을 수 있거나 또는 회전될 수 있다. 회전되는 기판은, 연속적으로 또는 불연속적인 단계들로 회전될 수 있다. 예를 들어, 기판은 전체 프로세스 전반에 걸쳐 회전될 수 있거나, 또는 기판은, 상이한 반응성 또는 퍼지 가스들에 대한 노출들 사이에서 소량만큼 회전될 수 있다. (연속적으로 또는 단계들로) 프로세싱 동안 기판을 회전시키는 것은, 예를 들어, 가스 유동 기하형상들에서의 국부적인 변동성의 영향을 최소화함으로써, 더 균일한 증착 또는 에칭을 생성하는 것을 도울 수 있다.
[0089] 전술한 바가 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 실시예들이, 본 개시내용의 기본적인 범위로부터 벗어나지 않고 고안될 수 있고, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.

Claims (15)

  1. 공간적인 원자층 증착 시스템으로서,
    챔버 용적(chamber volume)을 정의하는 벽들을 갖는 프로세싱 챔버 ― 상기 프로세싱 챔버는 서셉터 어셈블리 및 가스 분배 어셈블리를 포함하고, 상기 프로세싱 챔버는, 상기 가스 분배 어셈블리와 상기 서셉터 어셈블리 간의 적어도 하나의 제 1 프로세싱 영역, 및 상기 가스 분배 어셈블리와 상기 서셉터 어셈블리 간의 적어도 하나의 제 2 프로세싱 영역을 가지며, 상기 적어도 하나의 제 1 프로세싱 영역 및 상기 적어도 하나의 제 2 프로세싱 영역 각각은, 가스 커튼(gas curtain)에 의해 분리됨 ― ;
    상기 챔버 용적 및 진공 소스와 유체 연통(fluid communication)하는 챔버 배기 시스템 ― 상기 챔버 배기 시스템은 상기 프로세싱 챔버의 하류에 그리고 진공 소스의 상류에 챔버 배기 스로틀 밸브를 포함함 ― ;
    상기 적어도 하나의 제 1 프로세싱 영역 및 진공 소스와 유체 연통하는 제 1 배기 시스템 ― 상기 제 1 배기 시스템은 제 1 스로틀 밸브 및 제 1 압력 게이지(pressure gauge)를 포함하고, 상기 제 1 압력 게이지는 제 1 스로틀 밸브의 하류에 그리고 진공 소스의 상류에 있음 ― ;
    상기 적어도 하나의 제 2 프로세싱 영역 및 진공 소스와 유체 연통하는 제 2 배기 시스템 ― 상기 제 2 배기 시스템은 제 2 스로틀 밸브 및 제 2 압력 게이지를 포함하고, 상기 제 2 압력 게이지는 제 2 스로틀 밸브의 하류에 그리고 진공 소스의 상류에 있음 ― ; 및
    상기 제 1 압력 게이지 및 상기 제 2 압력 게이지로부터의 신호들에 응답하여 각각 제 1 스로틀 밸브 및 제 2 스로틀 밸브를 제어하기 위해, 상기 제 1 배기 시스템 및 상기 제 2 배기 시스템과 통신(communication)하는 제어기;를 포함하고,
    상기 제어기는 상기 적어도 하나의 제 1 프로세싱 영역 및 상기 적어도 하나의 제 2 프로세싱 영역의 각각에 공급되는 가스의 양이 상기 적어도 하나의 제 1 프로세싱 영역 및 상기 적어도 하나의 제 2 프로세싱 영역의 각각으로부터 제거되는 가스의 양과 동일하도록 보장되게 구성되고,
    상기 가스 분배 어셈블리는
    상기 적어도 하나의 제 1 프로세싱 영역을 향하여 가스를 분사하도록 구성된 제 1 가스 포트; 및
    상기 적어도 하나의 제 2 프로세싱 영역을 향하여 가스를 분사하도록 구성된 제 2 가스 포트;를 포함하며,
    상기 제 1 배기 시스템은 상기 제 1 가스 포트를 둘러싸는 제 1 진공 포트를 포함하고,
    상기 제 2 배기 시스템은 상기 제 2 가스 포트를 둘러싸는 제 2 진공 포트를 포함하는,
    공간적인 원자층 증착 시스템.
  2. 제 1 항에 있어서,
    상기 제 1 압력 게이지 및 상기 제 2 압력 게이지는 절대 게이지(absolute gauge)들인,
    공간적인 원자층 증착 시스템.
  3. 제 2 항에 있어서,
    상기 제어기는, 상기 제 1 압력 게이지에 대한, 상기 제 2 압력 게이지에 의해 측정되는 압력의 차이를 결정하는,
    공간적인 원자층 증착 시스템.
  4. 제 1 항에 있어서,
    상기 제 1 압력 게이지는 절대 게이지이고, 상기 제 2 압력 게이지는 차동 압력 게이지(differential pressure gauge)인,
    공간적인 원자층 증착 시스템.
  5. 제 1 항에 있어서,
    상기 제어기는 상기 제 1 프로세싱 영역 및 상기 제 2 프로세싱 영역에서의 가스들의 분리를 유지하는,
    공간적인 원자층 증착 시스템.
  6. 제 1 항에 있어서,
    상기 프로세싱 챔버는, 상기 가스 분배 어셈블리와 상기 서셉터 어셈블리 간의 적어도 하나의 제 3 프로세싱 영역, 및 상기 가스 분배 어셈블리와 상기 서셉터 어셈블리 간의 적어도 하나의 제 4 프로세싱 영역을 더 포함하고, 상기 적어도 하나의 제 1 프로세싱 영역, 상기 적어도 하나의 제 2 프로세싱 영역, 상기 적어도 하나의 제 3 프로세싱 영역 및 상기 적어도 하나의 제 4 프로세싱 영역 각각은 가스 커튼들에 의해 분리되고,
    상기 프로세싱 챔버는:
    상기 적어도 하나의 제 3 프로세싱 영역 및 진공 소스와 유체 연통하는 제 3 배기 시스템 ― 상기 제 3 배기 시스템은 제 3 스로틀 밸브 및 제 3 압력 게이지를 포함하고, 상기 제 3 압력 게이지는 제 3 스로틀 밸브의 하류에 그리고 진공 소스의 상류에 있음 ― ; 및
    상기 적어도 하나의 제 4 프로세싱 영역 및 진공 소스와 유체 연통하는 제 4 배기 시스템 ― 상기 제 4 배기 시스템은 제 4 스로틀 밸브 및 제 4 압력 게이지를 포함하고, 상기 제 4 압력 게이지는 제 4 스로틀 밸브의 하류에 그리고 진공 소스의 상류에 있음 ― 을 더 포함하고,
    상기 제어기는, 상기 제 1 압력 게이지, 상기 제 2 압력 게이지, 상기 제 3 압력 게이지 및 상기 제 4 압력 게이지로부터의 신호들에 응답하여 각각 제 1 스로틀 밸브, 제 2 스로틀 밸브, 제 3 스로틀 밸브, 및 제 4 스로틀 밸브를 제어하기 위해, 상기 제 1 배기 시스템, 상기 제 2 배기 시스템, 상기 제 3 배기 시스템 및 상기 제 4 배기 시스템과 통신하는,
    공간적인 원자층 증착 시스템.
  7. 제 6 항에 있어서,
    상기 제 1 압력 게이지, 상기 제 2 압력 게이지, 상기 제 3 압력 게이지 및 상기 제 4 압력 게이지 각각은 절대 게이지들인,
    공간적인 원자층 증착 시스템.
  8. 제 7 항에 있어서,
    상기 제어기는, 상기 제 1 압력 게이지에 대한, 상기 제 2 압력 게이지, 상기 제 3 압력 게이지 또는 상기 제 4 압력 게이지 중 하나 또는 그 초과에 의해 측정되는 압력의 차이를 결정하는,
    공간적인 원자층 증착 시스템.
  9. 제 6 항에 있어서,
    상기 제 1 압력 게이지는 상기 제 1 스로틀 밸브의 하류에 포지셔닝된 절대 게이지이고, 상기 제 2 압력 게이지는 상기 제 2 스로틀 밸브의 하류에 포지셔닝된 절대 게이지이고, 상기 제 3 압력 게이지는 상기 제 1 압력 게이지에 대한 압력차를 측정하는 차동 게이지(differential gauge)이며, 그리고 상기 제 4 압력 게이지는 상기 제 2 압력 게이지에 대한 압력차를 측정하는 차동 게이지인,
    공간적인 원자층 증착 시스템.
  10. 증착 시스템으로서,
    챔버 용적을 정의하는 벽들을 갖는 프로세싱 챔버 ― 상기 프로세싱 챔버는 서셉터 어셈블리 및 가스 분배 어셈블리를 포함하고, 상기 프로세싱 챔버는 적어도 하나의 제 1 프로세싱 영역, 적어도 하나의 제 2 프로세싱 영역, 적어도 하나의 제 3 프로세싱 영역 및 적어도 하나의 제 4 프로세싱 영역을 갖고, 프로세싱 영역들 각각은 상기 가스 분배 어셈블리와 상기 서셉터 어셈블리 사이에 포지셔닝되고, 상기 프로세싱 영역들 각각은, 가스 커튼에 의해, 인접하는 프로세싱 영역으로부터 분리됨 ― ;
    상기 챔버 용적과 유체 연통하는 챔버 배기 시스템 ― 상기 챔버 배기 시스템은 상기 프로세싱 챔버의 하류에 챔버 배기 스로틀 밸브를 포함함 ― ;
    상기 적어도 하나의 제 1 프로세싱 영역과 유체 연통하는 제 1 배기 시스템 ― 상기 제 1 배기 시스템은 제 1 스로틀 밸브 및 제 1 압력 게이지를 포함함 ― ;
    상기 적어도 하나의 제 2 프로세싱 영역과 유체 연통하는 제 2 배기 시스템 ― 상기 제 2 배기 시스템은 제 2 스로틀 밸브 및 제 2 압력 게이지를 포함함 ― ;
    상기 적어도 하나의 제 3 프로세싱 영역과 유체 연통하는 제 3 배기 시스템 ― 상기 제 3 배기 시스템은 제 3 스로틀 밸브 및 제 3 압력 게이지를 포함함 ― ;
    상기 적어도 하나의 제 4 프로세싱 영역과 유체 연통하는 제 4 배기 시스템 ― 상기 제 4 배기 시스템은 제 4 스로틀 밸브 및 제 4 압력 게이지를 포함함 ― ; 및
    상기 제 1 압력 게이지로부터의 신호들에 응답하여 상기 제 1 스로틀 밸브를 제어하고, 상기 제 2 압력 게이지로부터의 신호들에 응답하여 상기 제 2 스로틀 밸브를 제어하고, 상기 제 3 압력 게이지로부터의 신호들에 응답하여 상기 제 3 스로틀 밸브를 제어하고, 그리고 상기 제 4 압력 게이지로부터의 신호들에 응답하여 상기 제 4 스로틀 밸브를 제어하기 위해, 상기 제 1 배기 시스템, 상기 제 2 배기 시스템, 상기 제 3 배기 시스템 및 상기 제 4 배기 시스템과 통신하는 제어기를 포함하고,
    상기 제 1 압력 게이지는 상기 제 1 스로틀 밸브의 하류에 포지셔닝된 절대 게이지이고, 상기 제 2 압력 게이지는 상기 제 2 스로틀 밸브의 하류에 포지셔닝된 절대 게이지이고, 상기 제 3 압력 게이지는 상기 제 1 압력 게이지에 대한 압력차를 측정하는 차동 게이지이며, 그리고 상기 제 4 압력 게이지는 상기 제 2 압력 게이지에 대한 압력차를 측정하는 차동 게이지인,
    증착 시스템.
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
KR1020177009578A 2014-09-10 2015-09-09 공간적인 원자 층 증착에서의 가스 분리 제어 KR102507344B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020177020277A KR102503706B1 (ko) 2014-09-10 2015-09-09 공간적인 원자 층 증착에서의 가스 분리 제어
KR1020237007474A KR102664779B1 (ko) 2014-09-10 2015-09-09 공간적인 원자 층 증착에서의 가스 분리 제어

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201462048768P 2014-09-10 2014-09-10
US62/048,768 2014-09-10
US14/840,485 2015-08-31
US14/840,485 US10570511B2 (en) 2014-09-10 2015-08-31 Gas separation control in spatial atomic layer deposition
PCT/US2015/049137 WO2016040448A1 (en) 2014-09-10 2015-09-09 Gas separation control in spatial atomic layer deposition

Related Child Applications (2)

Application Number Title Priority Date Filing Date
KR1020177020277A Division KR102503706B1 (ko) 2014-09-10 2015-09-09 공간적인 원자 층 증착에서의 가스 분리 제어
KR1020237007474A Division KR102664779B1 (ko) 2014-09-10 2015-09-09 공간적인 원자 층 증착에서의 가스 분리 제어

Publications (2)

Publication Number Publication Date
KR20170049588A KR20170049588A (ko) 2017-05-10
KR102507344B1 true KR102507344B1 (ko) 2023-03-06

Family

ID=55436988

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020237007474A KR102664779B1 (ko) 2014-09-10 2015-09-09 공간적인 원자 층 증착에서의 가스 분리 제어
KR1020177020277A KR102503706B1 (ko) 2014-09-10 2015-09-09 공간적인 원자 층 증착에서의 가스 분리 제어
KR1020177009578A KR102507344B1 (ko) 2014-09-10 2015-09-09 공간적인 원자 층 증착에서의 가스 분리 제어

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020237007474A KR102664779B1 (ko) 2014-09-10 2015-09-09 공간적인 원자 층 증착에서의 가스 분리 제어
KR1020177020277A KR102503706B1 (ko) 2014-09-10 2015-09-09 공간적인 원자 층 증착에서의 가스 분리 제어

Country Status (5)

Country Link
US (3) US10570511B2 (ko)
JP (3) JP6924136B2 (ko)
KR (3) KR102664779B1 (ko)
TW (2) TWI670394B (ko)
WO (1) WO2016040448A1 (ko)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9617638B2 (en) 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
TWI670394B (zh) * 2014-09-10 2019-09-01 美商應用材料股份有限公司 空間原子層沈積中的氣體分離控制
US10273578B2 (en) * 2014-10-03 2019-04-30 Applied Materials, Inc. Top lamp module for carousel deposition chamber
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
US9508547B1 (en) * 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US10550469B2 (en) * 2015-09-04 2020-02-04 Lam Research Corporation Plasma excitation for spatial atomic layer deposition (ALD) reactors
TWI722132B (zh) 2016-03-13 2021-03-21 美商應用材料股份有限公司 用於間隔墊應用之氮化矽薄膜的選擇性沉積
US9738977B1 (en) 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
JP6667412B2 (ja) * 2016-09-30 2020-03-18 東京エレクトロン株式会社 基板処理装置
US10128116B2 (en) * 2016-10-17 2018-11-13 Lam Research Corporation Integrated direct dielectric and metal deposition
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
TWI802439B (zh) 2017-10-27 2023-05-11 美商應用材料股份有限公司 具有空間分離的單個晶圓處理環境
US10916704B2 (en) 2018-04-03 2021-02-09 Universal Display Corporation Vapor jet printing
FR3091025B1 (fr) * 2018-12-21 2021-01-22 Commissariat Energie Atomique Procédé de passivation de cellules photovoltaïques et procédé de fabrication de sous-cellules photovoltaïques passivées
KR20210116703A (ko) * 2019-02-13 2021-09-27 어플라이드 머티어리얼스, 인코포레이티드 단일 및 다중 프로세스 챔버 유동 스트림 공유를 위한 진공 펌프들
JP7098677B2 (ja) * 2020-03-25 2022-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
US20220020615A1 (en) * 2020-07-19 2022-01-20 Applied Materials, Inc. Multiple process semiconductor processing system
US11979171B2 (en) 2020-10-13 2024-05-07 Microchip Technology Incorporated Reduced complexity encoders and related systems, methods, and devices
TWI753616B (zh) * 2020-10-19 2022-01-21 天虹科技股份有限公司 原子層沉積設備與製程方法
US11551926B2 (en) 2021-01-22 2023-01-10 Micron Technology, Inc. Methods of forming a microelectronic device, and related systems and additional methods

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011100786A (ja) * 2009-11-04 2011-05-19 Tokyo Electron Ltd 基板処理装置、基板処理方法及び記憶媒体

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5256205A (en) * 1990-05-09 1993-10-26 Jet Process Corporation Microwave plasma assisted supersonic gas jet deposition of thin film materials
JP3115058B2 (ja) * 1991-09-30 2000-12-04 株式会社東芝 気相成長方法、気相成長装置および微粒子生成装置
JP2701775B2 (ja) * 1995-03-17 1998-01-21 日本電気株式会社 プラズマ処理装置
FR2734284B1 (fr) * 1995-05-19 1997-06-13 Commissariat Energie Atomique Dispositif de traitement chimique superficiel d'un echantillon plat au moyen d'un gaz actif
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
TW573053B (en) 2001-09-10 2004-01-21 Anelva Corp Surface processing apparatus
JP2005523384A (ja) * 2002-04-19 2005-08-04 マットソン テクノロジイ インコーポレイテッド 低蒸気圧のガス前駆体を用いて基板上にフィルムを蒸着させるシステム
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
WO2007106076A2 (en) * 2006-03-03 2007-09-20 Prasad Gadgil Apparatus and method for large area multi-layer atomic layer chemical vapor processing of thin films
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070218702A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
KR100794661B1 (ko) 2006-08-18 2008-01-14 삼성전자주식회사 기판 처리 장치 및 그 장치의 세정 방법
US8013270B2 (en) 2006-10-06 2011-09-06 Sony Corporation Laser processing apparatus, laser processing method, manufacturing method of wiring substrate, manufacturing method of display apparatus and wiring substrate
US7775236B2 (en) * 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US8143074B2 (en) * 2007-11-16 2012-03-27 Freescale Semiconductor, Inc. Semiconductor processing system and method of processing a semiconductor wafer
US8808456B2 (en) 2008-08-29 2014-08-19 Tokyo Electron Limited Film deposition apparatus and substrate process apparatus
JP5195174B2 (ja) * 2008-08-29 2013-05-08 東京エレクトロン株式会社 成膜装置及び成膜方法
US9416448B2 (en) 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5195676B2 (ja) * 2008-08-29 2013-05-08 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5155070B2 (ja) 2008-09-02 2013-02-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US20100095890A1 (en) * 2008-10-22 2010-04-22 Applied Materials, Inc. Gas supply system, pumping system, coating system, gas supply method, and pumping method
JP5812606B2 (ja) * 2010-02-26 2015-11-17 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5462671B2 (ja) 2010-03-15 2014-04-02 株式会社豊田中央研究所 気相成長方法
JP2012079919A (ja) * 2010-10-01 2012-04-19 Hitachi Kokusai Electric Inc 基板処理装置及び半導体製造方法
JP5926794B2 (ja) * 2012-04-23 2016-05-25 東京エレクトロン株式会社 成膜方法、成膜装置、及び、成膜システム
US20130344688A1 (en) 2012-06-20 2013-12-26 Zhiyuan Ye Atomic Layer Deposition with Rapid Thermal Treatment
US20140023794A1 (en) 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
JP2017503079A (ja) 2014-01-05 2017-01-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的原子層堆積又はパルス化学気相堆積を使用する膜堆積
TWI670394B (zh) * 2014-09-10 2019-09-01 美商應用材料股份有限公司 空間原子層沈積中的氣體分離控制

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011100786A (ja) * 2009-11-04 2011-05-19 Tokyo Electron Ltd 基板処理装置、基板処理方法及び記憶媒体

Also Published As

Publication number Publication date
TWI670394B (zh) 2019-09-01
KR20230035707A (ko) 2023-03-14
KR102664779B1 (ko) 2024-05-08
KR20170049588A (ko) 2017-05-10
US20200149161A1 (en) 2020-05-14
US20160068953A1 (en) 2016-03-10
JP7343553B2 (ja) 2023-09-12
US10570511B2 (en) 2020-02-25
US11821083B2 (en) 2023-11-21
JP6924136B2 (ja) 2021-08-25
TW202010865A (zh) 2020-03-16
KR102503706B1 (ko) 2023-02-23
JP2021185603A (ja) 2021-12-09
US20220119942A1 (en) 2022-04-21
WO2016040448A1 (en) 2016-03-17
US11230763B2 (en) 2022-01-25
KR20170087534A (ko) 2017-07-28
TW201610221A (zh) 2016-03-16
JP2023175714A (ja) 2023-12-12
TWI696724B (zh) 2020-06-21
JP2017528916A (ja) 2017-09-28

Similar Documents

Publication Publication Date Title
US11821083B2 (en) Gas separation control in spatial atomic layer deposition
KR102271731B1 (ko) 배치 프로세싱을 위한 경사진 플레이트 및 사용 방법들
KR102486400B1 (ko) 공간적인 원자 층 증착에 의한 자기-정렬 이중 패터닝
KR102589174B1 (ko) 공간적으로 분리된 원자 층 증착 챔버를 위한 개선된 인젝터
KR102563831B1 (ko) 캐러셀 증착 챔버를 위한 상단 램프 모듈
US20130210238A1 (en) Multi-Injector Spatial ALD Carousel and Methods of Use
KR102412517B1 (ko) 이중층 ald를 사용한 정확한 임계 치수 제어
US20160024653A1 (en) Plasma Source For Rotating Platen ALD Chambers

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
A107 Divisional application of patent
GRNT Written decision to grant