KR102403882B1 - 초전도체 상호연결들을 위한 증착 방법 - Google Patents

초전도체 상호연결들을 위한 증착 방법 Download PDF

Info

Publication number
KR102403882B1
KR102403882B1 KR1020197035670A KR20197035670A KR102403882B1 KR 102403882 B1 KR102403882 B1 KR 102403882B1 KR 1020197035670 A KR1020197035670 A KR 1020197035670A KR 20197035670 A KR20197035670 A KR 20197035670A KR 102403882 B1 KR102403882 B1 KR 102403882B1
Authority
KR
South Korea
Prior art keywords
superconducting
dielectric layer
deposition
dual damascene
substrate
Prior art date
Application number
KR1020197035670A
Other languages
English (en)
Other versions
KR20200003163A (ko
Inventor
크리스토퍼 에프. 커비
비비안 엠. 루우
마이클 레니
션 알. 맥로플린
Original Assignee
노스롭 그루먼 시스템즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노스롭 그루먼 시스템즈 코포레이션 filed Critical 노스롭 그루먼 시스템즈 코포레이션
Publication of KR20200003163A publication Critical patent/KR20200003163A/ko
Application granted granted Critical
Publication of KR102403882B1 publication Critical patent/KR102403882B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76891Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances by using superconducting materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53285Conductive materials containing superconducting materials
    • H01L39/2406
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N60/00Superconducting devices
    • H10N60/01Manufacture or treatment
    • H10N60/0156Manufacture or treatment of devices comprising Nb or an alloy of Nb with one or more of the elements of group 4, e.g. Ti, Zr, Hf
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N60/00Superconducting devices
    • H10N60/01Manufacture or treatment
    • H10N60/0268Manufacture or treatment of devices comprising copper oxide
    • H10N60/0296Processes for depositing or forming superconductor layers
    • H10N60/0492Processes for depositing or forming superconductor layers by thermal spraying, e.g. plasma deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures

Abstract

초전도체 상호연결 구조를 형성하는 방법이 개시된다. 방법은, 기판 위에 놓이게 유전체 층을 형성하는 단계, 유전체 층에 상호연결 개구를 형성하는 단계, 및 기판을 증착 챔버로 이동시키는 단계를 포함한다. 방법은, 초전도체 상호연결 구조에 초전도 엘리먼트를 형성하기 위해, 초전도 금속이 원하는 두께를 가질 때까지 챔버 온도를 미리 결정된 온도 이하로 유지하도록 일련의 초전도 증착 및 냉각 프로세스들을 수행함으로써 상호연결 개구에 초전도 금속을 증착시키는 단계를 더 포함한다.

Description

초전도체 상호연결들을 위한 증착 방법
본 출원은, 2017년 6월 2일자로 출원된 미국 특허 출원 제15/612326호로부터의 우선권을 주장하며, 그 출원은 그 전체가 본 명세서에 포함된다.
본 발명은 미국 계약 번호 제 30069413호 하에서 만들어졌다. 따라서, 미국 정부는 그 계약에서 특정된 바와 같이 본 발명에 대한 권리들을 갖는다.
본 발명은 일반적으로 초전도체들에 관한 것으로, 더 상세하게는 초전도체 상호연결들을 위한 증착 방법에 관한 것이다.
초전도 회로들은, 통신 신호 무결성 또는 컴퓨팅 전력이 필요한 국가 보안 애플리케이션들에 상당한 향상들을 제공하는 것으로 예상되는 양자 컴퓨팅 및 암호화 애플리케이션들에 대해 제안된 선두 기술들 중 하나이다. 그들은 100 켈빈 미만의 온도에서 동작된다. 초전도 디바이스들의 제조에 대한 노력들은 주로 대학 또는 정부 연구 실험실들로 한정되어 왔으며, 초전도 디바이스들의 대량 생산에 대해서는 거의 발표되지 않았다. 따라서, 이들 실험실들에서 초전도 디바이스들을 제조하는 데 사용되는 많은 방법들은 신속하고 일관된 제조가 가능하지 않은 프로세스들 또는 장비를 이용한다. 최근에, 종래의 반도체 프로세스들에서 이용되는 것과 유사한 기법들을 이용하여 초전도 회로들을 대량 생산하기 위한 움직임이 존재했다.
하나의 잘 알려진 반도체 프로세스는 집적 회로의 상이한 층들에 걸쳐 디바이스들을 서로 커플링시키기 위한 멀티-레벨 상호연결 스택에서의 콘택들 및 전도성 라인들의 형성이다. 전도성 콘택들 및 라인들의 형성을 위한 하나의 그러한 제조 프로세스는 듀얼 다마신(dual damascene) 프로세스로서 알려져 있다. 현재의 듀얼 다마신 프로세스들은 130나노미터(nm) 이하의 집적 회로(IC)들에 대한 구리(Cu) 상호연결들에 중심을 둔다. 반도체 증착 프로세스들을 사용하여 초전도 금속으로 듀얼 다마신 구조를 충전(fill)시키는 어떠한 알려진 현재의 프로세스도 존재하지 않는다.
일 예에서, 초전도체 상호연결 구조를 형성하는 방법이 제공된다. 방법은, 기판 위에 놓이게 유전체 층을 형성하는 단계, 유전체 층에 상호연결 개구를 형성하는 단계, 및 기판을 증착 챔버로 이동시키는 단계를 포함한다. 방법은, 초전도체 상호연결 구조에 초전도 엘리먼트를 형성하기 위해, 초전도 금속이 원하는 두께를 가질 때까지 챔버 온도를 미리 결정된 온도 이하로 유지하도록 일련의 초전도 증착 및 냉각 프로세스들을 수행함으로써 상호연결 개구에 초전도 금속을 증착시키는 단계를 더 포함한다.
다른 예에서, 초전도체 듀얼 다마신 구조를 형성하는 방법이 제공된다. 방법은, 제1 초전도 엘리먼트를 갖는 제1 유전체 층 위에 제2 유전체 층을 형성하는 단계, 제1 유전체 층 내의 제1 초전도 엘리먼트로 연장되어 제1 초전도 엘리먼트를 노출시키는, 제2 유전체 층에서 콘택(contact) 개구를 에칭하는 단계, 듀얼 다마신 개구를 갖는 듀얼 다마신 구조를 형성하기 위해 콘택 개구 위에 놓인, 제2 유전체 층에서 전도성 라인 개구를 에칭하는 단계, 및 증착 챔버 내의 온도 제어 척 상에 놓이도록 듀얼 다마신 구조를 이동시키는 단계를 포함한다. 방법은, 미리 결정된 온도 이하의 온도 제어된 척으로 온도를 세팅하는 단계, 및 콘택 및 콘택 위에 놓이고 콘택에 커플링된 제2 전도성 라인으로 구성된 듀얼 다마신 구조를 형성하여, 콘택이 제2 유전체 층을 통해 제1 전도성 라인을 제2 전도성 라인에 연결시키도록, 증착 챔버에 있는 동안 듀얼 다마신 개구에 초전도 금속을 증착시키는 단계를 더 포함한다. 초전도 금속을 증착시키는 단계는, 초전도 금속이 원하는 두께를 가질 때까지 챔버 온도를 미리 결정된 온도 이하로 유지하도록 일련의 초전도 증착 및 냉각 프로세스들을 수행하는 단계를 포함한다.
도 1은 초전도 상호연결 구조의 개략적인 단면도를 예시한다.
도 2는 자신의 초기 제조 스테이지들에서의 초전도체 구조의 일 예의 개략적인 단면도를 예시한다.
도 3은 에칭 프로세스를 경험하는 듀얼 다마신 프로세스의 제1 부분의 시작 포메이션(formation)의 개략적인 단면도를 예시한다.
도 4는 에칭 프로세스 이후 그리고 포토레지스트 재료 층이 스트리핑(strip)된 이후의 도 3의 구조의 개략적인 단면도를 예시한다.
도 5는 에칭 프로세스를 경험하는 듀얼 다마신 프로세스의 제2 부분의 시작 포메이션의 개략적인 단면도를 예시한다.
도 6은 에칭 프로세스 이후 그리고 포토레지스트 재료 층이 스트리핑된 이후의 도 5의 구조의 개략적인 단면도를 예시한다.
도 7은 예비세정 에칭 프로세스를 경험하는 도 6의 구조의 개략적인 단면도를 예시한다.
도 8은 초전도체 구조의 표면 상으로의 니오븀 이온들의 증착을 나타내는 증착 프로세스 동안의 증착 챔버의 단면도를 예시한다.
도 9는, 비아 및 트렌치 개구의 줌인된(zoomed in) 단면도, 및 초전도체 구조의 표면 상으로의 니오븀 이온들의 증착을 나타내는 증착 프로세스를 예시한다.
도 10은 증착 챔버에서의 초전도체 라이너(liner)의 증착을 경험한 이후의 도 7의 구조의 개략적인 단면도를 예시한다.
도 11은 증착 챔버에서 다수의 후속 중간 초전도 재료 층들을 증착시키기 위한 콘택 재료 충전 이후의 도 10의 구조의 개략적인 단면도를 예시한다.
도 12는 증착 챔버에서 다수의 최종 중간 초전도 재료 층들을 증착시키기 위한 콘택 재료 충전 이후의 도 11의 구조의 개략적인 단면도를 예시한다.
도 13은 화학적 기계적 연마를 경험한 이후의 도 12의 구조의 개략적인 단면도를 예시한다.
본 개시내용은 초전도 금속으로 상호연결 구조를 충전시키기 위한 방법을 설명한다. 상호연결 구조의 비아들 및 트렌치들은 150℃ 이하로 온도들을 유지하기 위해 일련의 증착 및 냉각 단계 시퀀스들을 이용하는 증착 프로세스를 이용하여 충전된다. 일 예에서, 온도들은 90℃ 이하로 유지된다. 이것은, 금속 막을 증착시키고, 예를 들어 증착 챔버의 증착 전력을 턴 오프(turn off)시키며, 다음 증착 사이클이 발생하기 전에 웨이퍼가 냉각되게 허용함으로써 달성된다. 이것은, 금속 막이 콘택들 및/또는 전도성 라인들을 형성하기 위해 적절한 두께로 증착될 때까지 반복된다.
일 예에서, 물리 기상 증착(PVD) 증착 프로세스를 사용하여 초전도 금속으로 듀얼 다마신 구조를 충전시키기 위한 방법이 제공된다. 듀얼 다마신 유전체 구조가 PVD 챔버를 사용하여 위의 일련의 증착 및 냉각 단계 시퀀스들을 수행함으로써 공극(void)들이 거의 없거나 전혀 없이 성공적으로 충전될 수 있다는 것이 입증되었다.
다른 예에서, 방법은 초전도 막을 다마신 구조로 스퍼터링하기 위해 약 100W 내지 약 500W의 범위에 있는 웨이퍼에 대한 AC 바이어스 보조와 함께 약 5kW(5,000W) 내지 약 30kW(30,000W)의 초전도 재료 슬래브(slab)에 전력을 제공할 수 있는 PVD 툴(tool)을 이용한다. 막 속성들은 다마신 구조 내에서 30K 미만의 초전도 속성들을 허용한다.
또 다른 예에서, 초전도 재료는, 초전도 타겟과 충돌하여 타겟 표면으로부터 금속 원자들을 떨어뜨리는 아르곤과 같은 가스 분자의 이온화로 인해 스퍼터링된다. 스퍼터링 프로세스는 위에서 언급된 프로세스 변수들에 의해 제어된다. 프로세스는, 바이어싱된 기판에 대한 인력에 의해 그리고 약 1500W의 AC 전력 및 500W의 DC 전력을 인가함으로써 튜닝가능한 증착 레이트를 갖는 코일을 가짐으로써 기판을 향한 스퍼터링된 이온화된 금속 원자들의 방향을 변경시킨다. 튜닝가능한 코일은 금속의 이온화 레이트에 영향을 주며, 이어서 그 이온화 레이트는, 예를 들어 45° 내지 90°의 각도로 기판으로 가속되어, 이온화된 금속 원자들의 각도 분포가 코일 전력에 의해 제어된다.
도 1은 초전도체 상호연결 구조(10)의 단면도를 예시한다. 초전도체 상호연결 구조(10)는, 기판(12) 위에 놓인 제1 유전체 층(14), 및 제1 유전체 층(14) 위에 놓인 제2 유전체 층(18)을 포함한다. 기판(12)은 실리콘, 유리 또는 다른 기판 재료로 형성될 수 있다. 제1 및 제2 유전체 층들(14 및 18) 둘 모두는, 초전도 디바이스들의 형성에서 통상적으로 이용되는 낮은 온도들(예를 들어, 섭씨 150도 이하)에서 이용될 수 있는 낮은 온도 유전체 재료로 형성될 수 있다. 제1 전도성 라인(16)은 제1 유전체 층(14)에 매립된다. 제1 전도성 콘택(20)은 제1 단부의 제1 전도성 라인(16)으로부터 제2 유전체 층(18) 내의 제2 전도성 라인(24)으로 연장되고, 제2 전도성 콘택(26)은 제1 전도성 라인(16)의 일부 위에 놓인 제2 유전체 층(18)에 배치된다. 콘택들 및 전도성 라인들 각각은 니오븀과 같은 초전도 재료로 형성된다. 전도성 라인들 및 전도성 콘택들 각각은 150℃ 이하(예를 들어, 90℃ 이하)로 온도들을 유지하기 위해 일련의 증착 및 냉각 단계 시퀀스들을 이용하는 증착 프로세스를 이용하여 형성된다. 이것은, 금속 막을 증착시키고, 전력을 턴 오프시키며, 다음 증착 사이클이 발생하기 전에 웨이퍼가 냉각되게 허용함으로써 달성된다. 이것은, 금속 막이 적절한 두께로 증착될 때까지 반복된다.
이제 도 2 내지 도 13을 참조하면, 제조가 도 1의 초전도 디바이스에서의 상호연결들의 형성과 관련하여 논의된다. 본 예가 절연 유전체에서의 초전도 금속의 단일 또는 듀얼 다마신 층 중 어느 하나의 형성으로 시작하는 프로세스 흐름에 대해 논의됨을 인식할 것이다. 본 예는 최하부 전도성 라인을 형성하기 위해 유전체 박막으로 에칭되는 단일 다마신 트렌치, 이어서 최상부 전도성 라인들을 형성하기 위한 듀얼 다마신 프로세스에 대해 예시될 것이다.
도 2는 자신의 초기 제조 스테이지들에서의 초전도체 구조(50)의 단면도를 예시한다. 초전도체 구조(50)는 하부 기판(52)을 오버레이하는 제1 유전체 층(54)을 포함한다. 하부 기판(52)은, 예를 들어, 제1 유전체 층(54) 및 후속의 위에 놓인 층들에 대한 기계적 지지부를 제공하는 실리콘 또는 유리 웨이퍼일 수 있다. 제1 전도성 라인(56)은 제1 유전체 층(54) 내에 놓이며, 제1 유전체 층(54)의 최상부 표면과 동일 평면 상에 있는 최상부 표면을 갖는다. 제1 전도성 라인(56)은 초전도성 재료로부터 형성되며, 금속 막이 적절한 두께로 증착될 때까지 150℃ 이하로 온도들을 유지하도록 일련의 증착 및 냉각 단계 시퀀스들에 의해 형성될 수 있다. 다른 예에서, 일련의 증착 및 냉각 단계 시퀀스들은, 금속 막이 적절한 두께로 증착될 때까지 90℃ 이하로 온도들을 유지하도록 수행된다.
제2 유전체 층(58)은 제1 유전체 층(54) 위에 형성된다. 제1 및 제2 유전체 층들을 형성하기 위한 임의의 적합한 기법, 이를테면, 상호연결 층을 제공하기에 적합한 두께에 대해 낮은 압력 화학 기상 증착(LPCVD), 플라즈마 강화된 화학 기상 증착(PECVD), 고밀도 플라즈마 화학 기상 증착(HDPCVD), 스퍼터링 또는 스핀-온(spin-on) 기법들이 이용될 수 있다.
도 3은 듀얼 다마신 프로세스의 제1 부분의 시작 포메이션을 예시한다. 도 3에 예시된 바와 같이, 포토레지스트 재료 층(62)은, 구조를 커버하기 위해 도포되었으며, 비아 패턴에 따라 포토레지스트 재료 층(62)에서 비아 개구(64)를 노출시키도록 패턴화 및 현상되었다. 포토레지스트 재료 층(62)은, 포토레지스트 재료 층(62)을 패턴화시키는 데 사용되는 방사선의 파장에 대응하여 변하는 두께를 가질 수 있다. 포토레지스트 재료 층(62)은 스핀-코딩 또는 스핀 캐스팅(spin casting) 증착 기법들을 통해 제2 유전체 층(58) 위에 형성되고, (예를 들어, DUV(deep ultraviolet) 조사를 통해) 선택적으로 조사 및 현상되어, 비아 개구(64)를 형성할 수 있다.
도 3은 또한, 포토레지스트 재료 층(62) 내의 비아 패턴에 기초하여 제2 유전체 층(58)에서 연장된 비아 개구(66)(도 4)를 형성하기 위한 제2 유전체 층(58) 상에서의 에칭(200)(예를 들어, 이방성 반응 이온 에칭(RIE))의 수행을 예시한다. 에칭 단계(200)는 건식 에칭이며, 하부의 전도성 라인(56) 및 위에 놓인 포토레지스트 재료 층(62)보다 더 빠른 레이트로 하부의 제2 유전체 층(58)을 선택적으로 에칭시키는 에천트를 이용할 수 있다. 예를 들어, 제2 유전체 층(58)은, 포토레지스트 재료 층(62) 상의 마스크 패턴을 복제하여, 그에 의해 연장된 비아 개구(66)를 생성하기 위해, 상업적으로 이용가능한 에처(etcher), 이를테면 평행 플레이트 RIE 장치 또는 대안적으로는 전자 사이클로트론 공진(ECR) 플라즈마 리액터에서 플라즈마 가스(들), 본 명세서에서는 플루오린 이온들을 함유하는 탄소 테트라플루오라이드(CF4)를 이용하여 이방성 에칭될 수 있다. 그 후, 포토레지스트 재료 층(62)은 도 4에 도시된 구조를 초래하기 위해 (예를 들어, O2 플라즈마에서 애싱(ashing)하여) 스트리핑된다.
도 5에서 표현된 바와 같이, 포토레지스트 재료 층(68)은 도 4의 구조를 커버하기 위해 도포되고, 이어서 트렌치 패턴에 따라 포토레지스트 재료 층(68)에서 개방 트렌치 구역들(70)을 노출시키도록 패턴화 및 현상된다. 도 5는 또한, 포토레지스트 재료 층(68) 내의 트렌치 패턴에 기초하여 부분적으로 연장된 개구들(72)(도 6)을 형성하기 위한 제2 유전체 층(58) 상에서의 에칭(210)(예를 들어, 이방성 반응 이온 에칭(RIE))의 수행을 예시한다. 에칭(210)은 또한, 다양한 프로세스들 동안 형성되고 포토레지스트 재료 층(68)에 의해 커버되지 않는 유전체 산화물의 부분들 및 니오븀 산화물의 층들을 제거한다. 그 후, 포토레지스트 재료 층(68)은 도 6에 도시된 구조를 초래하기 위해 스트리핑된다. 연장된 개구(72)는 듀얼 다마신 개구를 형성하기 위해 비아 개구(66)와 공동-정렬된다.
포토레지스트 재료 층(68)의 스트리핑 및 하나 이상의 전달/버퍼 챔버들을 통한 구조의 전달 동안, 산화물들이 초전도 금속 상에 그리고 제2 유전체 층(58) 상에 구축된다. 이것은 전도성 라인(56)의 최상부 표면 상에 니오븀 산화물과 같은 금속-산화물(74)의 형성을 초래하여, 성능을 저하시킨다. 이러한 금속-산화물(74)은 제1 전도성 라인(56)의 초전도 속성들에 대해 유해한 효과를 갖는다. 따라서, 구조는 증착 이전에 초전도 금속들로부터 산화물들을 제거하기 위해 예비세정 챔버로 전달된다. 산화물들은 스퍼터 에칭을 수행함으로써 제거될 수 있다. 구조는 금속 산화물(74), 및 제2 유전체 층(58) 상에 형성된 유전체 산화물(도시되지 않음)의 일부들을 제거하기 위해 에칭 프로세스(210)를 경험한다.
도 8에 도시된 바와 같이, 구조는 증착 챔버(110)로 이동된다. 증착 챔버(110)는 챔버(110)의 최상부 표면 상에 배치된 니오븀(Nb)과 같은 타겟 금속의 슬래브 및 온도 제어된 척 상에 배치된 웨이퍼를 이용하여 셋업된다. 웨이퍼 온도를 제어하기 위해, 약 15℃ 내지 약 150℃에서(예를 들어, 90℃ 이하로) 제어되는 웨이퍼로 열을 전달하고 웨이퍼로부터 열을 전달하기 위해 컨덕턴스를 사용하는 정전 척(ESC)은 막 속성들의 반복가능한 결과들 및 더 엄격한 사양들을 제공한다. DC 바이어스(5 내지 30KW)가 초전도 재료의 슬래브에 인가되고, AC 바이어스(100 내지 500W)가 척 상의 웨이퍼에 인가된다. AC/DC 코일은 기판의 주변부 주위에 위치되며, 코일 전력(DC 전력(500W) 및 AC 바이어스 전력(1500W))은, 45° 내지 90°의 각도로 기판에 투사되는 금속 중성물들을 이온화시키는 각도 이온화의 양을 변화시킨다.
아르곤이 챔버 내로 주입되며, 아르곤은 슬래브에 충격을 가하여 Nb 이온들로 하여금 구조(50)로 지향되게 하고, 그에 따라 Nb를 비아들 및 트렌치 개구들에 증착시킨다. RF 코일/DC 코일은 원하는 각도 이온화에 기초한 Nb 이온들의 지향성을 제공한다. 도 9는, 비아 및 트렌치들을 충전시키고 위에 놓인 제2 유전체 층을 커버하는 초전도 Nb 재료 층의 증착을 초래하도록 Nb 이온들로 충전되는 비아 및 트렌치 개구의 줌인된 뷰를 예시한다.
이전에 언급된 바와 같이, 초전도 라인들 및 비아들의 형성은 150℃ 초과의 구조(50)의 과열을 허용하지 않도록 일련의 증착 및 냉각 단계들을 경험한다. 이것은, 금속 막을 증착시키고, 전력을 턴 오프시키며, 다음 증착 사이클이 발생하기 전에 웨이퍼가 냉각되게 허용함으로써 달성된다. 이것은, 금속 막이 적절한 두께로 증착될 때까지 반복된다. 다양한 파라미터들이 초전도 속성들에 영향을 주는 더 양호한 다마신 충전을 촉진시키도록 변경될 수 있다는 것이 발견되었다. 이들은, 타겟 재료에 인가된 DC 전력(5 내지 30KW), 웨이퍼에 인가된 바이어스 전력(100 내지 500W), 증착 지향성을 돕기 위한 코일에 대한 DC 전력(500W) 및 RF 전력(1500W), 및 증착 동안의 기본 진공 및 압력 선택을 포함하지만 이에 제한되지는 않는다.
입증된 프로세스에서, 초기 증착은 라이너로서 Nb와 같은 금속을 증착시키며, 이는 도 10에 예시된 바와 같은 다마신 구조에 매우 컨포멀(conformal)하다. 금속 막이 다마신 구조를 충전시킬 수 있게 하는 우선적인 그레인(grain) 구조를 연속적인 금속 증착들이 형성하게 허용하는 얇은 코팅(10 내지 25nm) 컨포멀 금속 막을 허용하기 위해 라이너가 증착된다.
초전도 재료의 제1 층 또는 라이너를 증착시키기 위한 하나의 예는 다음과 같다. 먼저, 척이 세팅되고, 약 75℃ 내지 약 100℃(예를 들어, 90℃)의 온도로 유지된다. 다음으로, 웨이퍼들이 챔버에 진입하고 ESC 척 상에 클램핑될 경우, 컨덕턴스를 통한 열 전달을 허용하기 위해 약 5 내지 약 6sccm(standard cubic centimeters)으로 ESC 내의 가스 라인을 통해 웨이퍼의 후면측으로 아르곤 가스가 유동된다. 웨이퍼로의 열 전달 또는 웨이퍼로부터의 열 전달은 프로세싱 동안 웨이퍼의 온도를 제어하는 것을 돕는다. 이어서, 챔버는 약 5 내지 약 90sccm(예를 들어, 83sccm)의 아르곤의 프로세싱 가스로 전면-충전(front-fill)된다. 다음으로, DC 전력이 플라즈마 프로세스를 개시하기 위해 약 2초 동안 약 500와트의 슬래브에 인가된다. DC 전력은 약 20,000와트로 증가되고, 웨이퍼 AC 바이어스 전력은 약 100 내지 약 500와트로 세팅되면서, RF 코일 전력은 약 1100와트로 증가되어, 초전도 재료로 하여금 약 20 내지 40초 동안 비아 및 트렌치 개구에 증착되게 한다. 증착 동안에, 후면측 기판(예를 들어: 웨이퍼) 압력은 4토르(Torr)보다 크도록 세팅된다. 다음으로, 웨이퍼가 초기 세팅된 온도로 다시 냉각되는 데 얼마나 걸리는지에 의존하여, DC 전력, AC 바이어스 전력, 및 코일 전력을 약 40 내지 200초 동안 턴 오프시킴으로써 냉각 단계가 수행된다.
이어서, 다수의 후속 중간 초전도 재료 층들(78)이 도 11에 도시된 결과적인 구조를 제공하기 위해 초전도 라이너(76) 위에 증착된다. 또한, 다수의 후속 최종 초전도 층들(80)이 도 12의 결과적인 구조를 형성하기 위해 중간 초전도 재료 층들(78) 위에 증착된다.
부가적인 초전도 층이 증착될 때마다, 플라즈마 프로세스를 개시하기 위해 약 2초 동안 약 500와트의 슬래브에 DC 전력을 인가하고, DC 전력을 약 20,000와트로 증가시키고, AC 전력을 약 100 내지 약 500와트로 증가시키면서, RF 코일 전력이 약 1100와트로 증가되어, 초전도 재료로 하여금 약 20 내지 40초 동안 비아 및 트렌치 개구들에 증착되게 하며, 그리고 DC 전력, AC 바이어스 전력, 및 코일 전력을 약 40 내지 200초 동안 제거함으로써 냉각 단계를 반복하는 시퀀스가 반복된다. 최종 층이 증착된 이후, 최종 냉각 단계가 약 10 내지 60초 동안 수행되고, 증착 챔버로부터 아르곤을 클리어(clear)시키고 챔버로부터 압력을 제거하기 위해 펌핑 프로세스가 수행되며, 이는 약 5초가 걸린다.
콘택 재료 충전의 최종 층의 증착에 후속하여, 구조가 증착 챔버(110)로부터 제거되고, 화학적 기계적 연마(CMP)를 통해 유전체 층(58)의 표면 레벨 아래로 연마되어, 제1 콘택(82), 제2 전도성 라인(84), 및 제3 전도성 라인(86)을 형성해서, 도 13의 결과적인 구조를 제공한다.
위에서 설명된 것은 본 발명의 예들이다. 물론, 본 발명을 설명하려는 목적들을 위해 컴포넌트들 또는 방법들의 모든 각각의 인지가능한 결합을 설명하는 것이 가능하지 않지만, 당업자는 본 발명의 많은 추가적인 결합들 및 변형들이 가능함을 인식할 것이다. 따라서, 본 발명은, 첨부된 청구항들을 포함하는 본 명세서의 범위 내에 있는 모든 그러한 수정들, 변형들, 및 변경들을 포함하도록 의도된다.

Claims (21)

  1. 초전도체 상호연결 구조를 형성하는 방법으로서,
    기판 위에 놓이게 유전체 층을 형성하는 단계;
    상기 유전체 층에 상호연결 개구를 형성하는 단계;
    상기 기판을 증착 챔버로 이동시키는 단계; 및
    상기 초전도체 상호연결 구조에 초전도 엘리먼트를 형성하기 위해, 상기 기판의 주변부 주위에 AC/DC 코일을 위치시키고, 초전도 타겟 재료의 슬래브(slab)에 DC 전력을 가하고, 또한 상기 AC/DC 코일이 1500 와트의 RF 전력을 가지고, 500와트의 DC 전력을 갖도록 설정하여, 이온화된 금속을 45° 이상 90° 미만의 각도로 상기 기판에 투사시키기 위해 AC 바이어스를 상기 기판에 가하고, 또한 초전도 금속이 원하는 두께를 가질 때까지 챔버 온도를 미리 결정된 온도 이하로 유지하도록 일련의 초전도 증착 및 냉각 프로세스들을 수행함으로써 상기 상호연결 개구에 상기 초전도 금속을 증착시키는 단계를 포함하는, 초전도체 상호연결 구조를 형성하는 방법.
  2. 제1항에 있어서,
    상기 미리 결정된 온도는 150℃ 이하인, 초전도체 상호연결 구조를 형성하는 방법.
  3. 제1항에 있어서,
    상기 초전도 금속은 상기 증착 챔버에 놓여있는 초전도 타겟 재료의 상기 슬래브로부터 증착되는, 초전도체 상호연결 구조를 형성하는 방법.
  4. 제3항에 있어서,
    상기 초전도 금속을 증착시키는 단계는,
    아르곤(Ar)을 상기 증착 챔버 내로 주입하는 단계;
    타겟 재료를 상기 유전체 층 상으로 그리고 상기 상호연결 개구 내로 스퍼터링하기에 충분히 높도록 초전도 타겟 재료의 슬래브에 인가된 상기 DC 전력을 약 5000와트 내지 약 30000와트로 세팅하는 단계; 및
    이온화된 금속에 선형 지향성을 제공하기에 충분히 낮도록 상기 기판에 인가된 상기 AC 바이어스를 약 100와트 내지 약 500와트가 되게 세팅하는 단계를 포함하는, 초전도체 상호연결 구조를 형성하는 방법.
  5. 삭제
  6. 제4항에 있어서,
    증착 동안 4토르(Torr)보다 크도록 후면측 기판 압력을 세팅하는 단계를 더 포함하는, 초전도체 상호연결 구조를 형성하는 방법.
  7. 제4항에 있어서,
    증착 전에 약 5 내지 약 6sccm(standard cubic centimeters)으로 아르곤 가스를 상기 챔버 내로 후면-충전(back-fill)시키고, 증착 동안 약 5sccm 내지 약 90sccm으로 상기 아르곤 가스를 상기 챔버 내로 전면-충전(front-fill)시키는 단계를 더 포함하는, 초전도체 상호연결 구조를 형성하는 방법.
  8. 제1항에 있어서,
    상기 유전체 층은 제1 전도성 라인을 갖는 제1 유전체 층을 오버레이하는 제2 유전체 층이고, 상기 상호연결 개구는 듀얼 다마신 구조여서, 초전도체 상호연결 엘리먼트는 제2 전도성 라인, 및 상기 제2 유전체 층을 통해 상기 제1 전도성 라인을 상기 제2 전도성 라인에 연결시키는 콘택(contact) 둘 모두인, 초전도체 상호연결 구조를 형성하는 방법.
  9. 제1항에 있어서,
    상기 초전도체 상호연결 구조는 상기 초전도 금속의 증착 동안 온도 제어 척 상에 놓인 기판의 일부이며,
    상기 온도 제어된 척은 상기 미리 결정된 온도 이하의 온도로 세팅되는, 초전도체 상호연결 구조를 형성하는 방법.
  10. 제1항에 있어서,
    증착 전에 상기 유전체 층의 최상부 표면 상에서 그리고 상기 상호연결 개구에서 스퍼터 에칭 세정 프로세스를 수행하는 단계를 더 포함하는, 초전도체 상호연결 구조를 형성하는 방법.
  11. 제1항에 있어서,
    초전도체 상호연결 엘리먼트의 최상부 표면을 상기 유전체 층의 최상부 표면과 정렬시키기 위해 화학적 기계적 연마(CMP)를 수행하는 단계를 더 포함하는, 초전도체 상호연결 구조를 형성하는 방법.
  12. 초전도체 듀얼 다마신 구조를 형성하는 방법으로서,
    제1 초전도 엘리먼트를 갖는 제1 유전체 층 위에 제2 유전체 층을 형성하는 단계 ―상기 제1 유전체 층은 기판 위에 증착됨―;
    상기 제1 유전체 층 내의 상기 제1 초전도 엘리먼트로 연장되어 상기 제1 초전도 엘리먼트를 노출시키는, 상기 제2 유전체 층에서 콘택 개구를 에칭하는 단계;
    듀얼 다마신 개구를 갖는 듀얼 다마신 구조를 형성하기 위해 상기 콘택 개구 위에 놓인, 상기 제2 유전체 층에서 전도성 라인 개구를 에칭하는 단계;
    증착 챔버 내의 온도 제어 척 상에 놓이도록 상기 듀얼 다마신 구조를 이동시키는 단계;
    75°C에서 100°C 까지의 범위 내의 상기 온도 제어된 척으로 상기 온도를 세팅하는 단계; 및
    콘택 및 상기 콘택 위에 놓이고 상기 콘택에 커플링된 제2 전도성 라인으로 구성된 듀얼 다마신 구조를 형성하여, 상기 콘택이 상기 제2 유전체 층을 통해 제1 전도성 라인을 상기 제2 전도성 라인에 연결시키도록, 상기 증착 챔버에 있는 동안 상기 듀얼 다마신 개구에 초전도 금속을 증착시키는 단계를 포함하며,
    상기 초전도 금속을 증착시키는 단계는,
    아르곤(AR)을 상기 증착 챔버 내로 주입하는 단계;
    미리 결정된 시간 동안 초전도 타겟 재료의 슬래브에 인가된 DC 전력을 500 와트로 세팅하는 단계;
    초전도 타겟 재료의 상기 슬래브에 가해지는 상기 전력을 약 20000 와트로 증가시키는 단계;
    이온화된 금속에 선형 지향성을 제공하기에 충분히 낮도록 상기 듀얼 다마신 구조에 인가된 AC 바이어스를 약 100 와트 내지 약 500 와트가 되게 세팅하는 단계;
    상기 기판 주위에 위치된 AC/DC 코일을 약 1500 와트의 RF 전력으로 또한 약 500 와트의 DC 전력으로 세팅하는 단계; 및
    상기 초전도 금속이 원하는 두께를 가질 때까지 챔버 온도를 상기 미리 결정된 온도 이하로 유지하도록 일련의 초전도 증착 절차를 약 20초 내지 40초 수행하고 또한 냉각 프로세스들을 약 40초 내지 200초 수행하는 단계를 포함하는, 초전도체 듀얼 다마신 구조를 형성하는 방법.
  13. 제12항에 있어서,
    상기 듀얼 다마신 구조는 상기 증착 챔버에 커플링된 타겟 슬래브 재료로서 놓여있는 니오븀으로부터 형성되는, 초전도체 듀얼 다마신 구조를 형성하는 방법.
  14. 제12항에 있어서,
    상기 미리 결정된 온도는 90℃ 이하인, 초전도체 듀얼 다마신 구조를 형성하는 방법.
  15. 삭제
  16. 삭제
  17. 제12항에 있어서,
    증착 동안 4토르보다 크도록 후면측 기판 압력을 세팅하는 단계를 더 포함하는, 초전도체 듀얼 다마신 구조를 형성하는 방법.
  18. 제17항에 있어서,
    증착 전에 약 5 내지 약 6sccm(standard cubic centimeters)으로 아르곤 가스를 상기 기판의 후면측 상으로 유동시키고, 증착 동안 약 5sccm 내지 약 90sccm으로 상기 아르곤 가스를 상기 챔버 내로 전면-충전시키는 단계를 더 포함하는, 초전도체 듀얼 다마신 구조를 형성하는 방법.
  19. 제12항에 있어서,
    증착 전에 상기 제2 유전체 층의 최상부 표면 상에서 그리고 상기 듀얼 다마신 개구에서 스퍼터 에칭 세정 프로세스를 수행하는 단계를 더 포함하는, 초전도체 듀얼 다마신 구조를 형성하는 방법.
  20. 제12항에 있어서,
    상기 듀얼 다마신 구조의 최상부 표면을 상기 제2 유전체 층의 최상부 표면과 정렬시키기 위해 화학적 기계적 연마(CMP)를 수행하는 단계를 더 포함하는, 초전도체 듀얼 다마신 구조를 형성하는 방법.
  21. 삭제
KR1020197035670A 2017-06-02 2018-05-18 초전도체 상호연결들을 위한 증착 방법 KR102403882B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/612,326 US10763419B2 (en) 2017-06-02 2017-06-02 Deposition methodology for superconductor interconnects
US15/612,326 2017-06-02
PCT/US2018/033299 WO2019036081A2 (en) 2017-06-02 2018-05-18 DEPOSIT METHODOLOGY FOR SUPERCONDUCTING INTERCONNECTIONS

Publications (2)

Publication Number Publication Date
KR20200003163A KR20200003163A (ko) 2020-01-08
KR102403882B1 true KR102403882B1 (ko) 2022-05-31

Family

ID=64460153

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197035670A KR102403882B1 (ko) 2017-06-02 2018-05-18 초전도체 상호연결들을 위한 증착 방법

Country Status (7)

Country Link
US (1) US10763419B2 (ko)
EP (1) EP3631842A2 (ko)
JP (1) JP7038139B2 (ko)
KR (1) KR102403882B1 (ko)
AU (1) AU2018317964B2 (ko)
CA (1) CA3060218C (ko)
WO (1) WO2019036081A2 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10985059B2 (en) 2018-11-01 2021-04-20 Northrop Grumman Systems Corporation Preclean and dielectric deposition methodology for superconductor interconnect fabrication

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090183984A1 (en) * 2006-01-31 2009-07-23 Takashi Sakuma Seed Film Forming Method, Plasma-Assisted Film Forming System and Storage Medium
US20150119252A1 (en) * 2012-03-08 2015-04-30 D-Wave Systems Inc. Systems and methods for fabrication of superconducting integrated circuits
US20150179918A1 (en) * 2013-12-23 2015-06-25 Intermolecular Inc. Plasma cleaning of superconducting layers
US20150371847A1 (en) 2014-06-20 2015-12-24 Taiwan Semiconductor Manufacturing Company Ltd. Method for controlling semiconductor deposition operation

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61233691A (ja) 1985-04-10 1986-10-17 Mitsui Toatsu Chem Inc アルキルアルミの安定な保存方法
JPS6281075A (ja) 1985-10-04 1987-04-14 Fujitsu Ltd ジヨセフソン集積回路の製造方法
JPS63130792A (ja) 1986-11-21 1988-06-02 Matsuda Metal Kogyo Kk 電解装置
US4960751A (en) 1987-04-01 1990-10-02 Semiconductor Energy Laboratory Co., Ltd. Electric circuit having superconducting multilayered structure and manufacturing method for same
JPS6464274A (en) 1987-09-03 1989-03-10 Agency Ind Science Techn Tunnel type josephson junction
JPH02141569A (ja) * 1988-11-24 1990-05-30 Hitachi Ltd 超伝導材料
US5055158A (en) 1990-09-25 1991-10-08 International Business Machines Corporation Planarization of Josephson integrated circuit
JP3147666B2 (ja) 1994-07-21 2001-03-19 株式会社村田製作所 積層電子部品およびその製造方法
US5818071A (en) 1995-02-02 1998-10-06 Dow Corning Corporation Silicon carbide metal diffusion barrier layer
WO1998024944A1 (de) * 1996-12-06 1998-06-11 THEVA DüNNSCHICHTTECHNIK GMBH Schichtmaterial sowie vorrichtung und verfahren zum herstellen von schichtmaterial
JP3120742B2 (ja) 1996-11-20 2000-12-25 日本電気株式会社 超伝導回路及びその製造方法
JP3190289B2 (ja) 1997-10-06 2001-07-23 アイシン化工株式会社 アキュムレータ
TW437040B (en) 1998-08-12 2001-05-28 Applied Materials Inc Interconnect line formed by dual damascene using dielectric layers having dissimilar etching characteristics
US6225207B1 (en) * 1998-10-01 2001-05-01 Applied Materials, Inc. Techniques for triple and quadruple damascene fabrication
KR100283858B1 (ko) 1998-10-22 2001-04-02 정명세 초전도 소자 제조방법
US6184137B1 (en) * 1998-11-25 2001-02-06 Applied Materials, Inc. Structure and method for improving low temperature copper reflow in semiconductor features
US6184477B1 (en) 1998-12-02 2001-02-06 Kyocera Corporation Multi-layer circuit substrate having orthogonal grid ground and power planes
JP3419348B2 (ja) 1999-06-28 2003-06-23 日本電気株式会社 集積回路素子接続用ケーブルおよびその製造方法
KR20010021278A (ko) 1999-08-12 2001-03-15 조셉 제이. 스위니 자가 스퍼터링에 사용되는 후방 냉각 가스
US8696875B2 (en) * 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6280201B1 (en) 2000-01-21 2001-08-28 Hewlett-Packard Company Laminated 90-degree connector
JP2002043640A (ja) 2000-07-31 2002-02-08 Fujitsu Ltd 超伝導接合素子及びその製造方法
US6534394B1 (en) * 2000-09-13 2003-03-18 International Business Machines Corporation Process to create robust contacts and interconnects
US6378757B1 (en) 2001-01-31 2002-04-30 Agilent Technologies, Inc. Method for edge mounting flex media to a rigid PC board
US6365518B1 (en) 2001-03-26 2002-04-02 Applied Materials, Inc. Method of processing a substrate in a processing chamber
JP2002299705A (ja) 2001-03-29 2002-10-11 Yamaguchi Technology Licensing Organization Ltd 微小面積トンネル接合の作製方法
AUPR515301A0 (en) * 2001-05-22 2001-06-14 Commonwealth Scientific And Industrial Research Organisation Process and apparatus for producing crystalline thin film buffer layers and structures having biaxial texture
US6482656B1 (en) 2001-06-04 2002-11-19 Advanced Micro Devices, Inc. Method of electrochemical formation of high Tc superconducting damascene interconnect for integrated circuit
US6541842B2 (en) * 2001-07-02 2003-04-01 Dow Corning Corporation Metal barrier behavior by SiC:H deposition on porous materials
JPWO2003079429A1 (ja) 2002-03-15 2005-07-21 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6656840B2 (en) 2002-04-29 2003-12-02 Applied Materials Inc. Method for forming silicon containing layers on a substrate
US6803309B2 (en) 2002-07-03 2004-10-12 Taiwan Semiconductor Manufacturing Co., Ltd Method for depositing an adhesion/barrier layer to improve adhesion and contact resistance
WO2004066691A1 (ja) 2003-01-22 2004-08-05 Nec Corporation 回路基板装置及び配線基板間接続方法
JP2004232054A (ja) 2003-01-31 2004-08-19 Sony Corp スパッタ装置及びスパッタ方法
US8241701B2 (en) 2005-08-31 2012-08-14 Lam Research Corporation Processes and systems for engineering a barrier surface for copper deposition
JP4810074B2 (ja) 2003-06-27 2011-11-09 株式会社日立製作所 超電導デバイス用多層配線の製造方法
US7278855B2 (en) 2004-02-09 2007-10-09 Silicon Pipe, Inc High speed, direct path, stair-step, electronic connectors with improved signal integrity characteristics and methods for their manufacture
DE102004037089A1 (de) 2004-07-30 2006-03-16 Advanced Micro Devices, Inc., Sunnyvale Technik zur Herstellung einer Passivierungsschicht vor dem Abscheiden einer Barrierenschicht in einer Kupfermetallisierungsschicht
US20070184656A1 (en) 2004-11-08 2007-08-09 Tel Epion Inc. GCIB Cluster Tool Apparatus and Method of Operation
US7820020B2 (en) * 2005-02-03 2010-10-26 Applied Materials, Inc. Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
EP1851794A1 (en) 2005-02-22 2007-11-07 ASM America, Inc. Plasma pre-treating surfaces for atomic layer deposition
KR100643853B1 (ko) 2005-06-04 2006-11-14 삼성전자주식회사 반도체 소자의 다마신 배선 형성 방법 및 이에 의해 제조된반도체 소자
WO2007092856A2 (en) 2006-02-06 2007-08-16 Tel Epion Inc. Copper interconnect wiring and method and apparatus for forming thereof
KR100817630B1 (ko) 2006-04-14 2008-03-27 비오이 하이디스 테크놀로지 주식회사 알루미늄-탄소 합금 베이스 금속막 상의 투명 도전막형성방법 및 이를 이용한 박막트랜지스터 액정표시장치의어레이 기판의 제조방법
US7776748B2 (en) * 2006-09-29 2010-08-17 Tokyo Electron Limited Selective-redeposition structures for calibrating a plasma process
US7682966B1 (en) * 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
US20080311711A1 (en) * 2007-06-13 2008-12-18 Roland Hampp Gapfill for metal contacts
US7659197B1 (en) * 2007-09-21 2010-02-09 Novellus Systems, Inc. Selective resputtering of metal seed layers
JP2009111306A (ja) 2007-11-01 2009-05-21 Hitachi Ltd ジョセフソン接合を備えた電子デバイスとその製造方法
US20100080928A1 (en) * 2008-09-26 2010-04-01 Tango Systems, Inc. Confining Magnets In Sputtering Chamber
JP5455538B2 (ja) * 2008-10-21 2014-03-26 キヤノン株式会社 半導体装置及びその製造方法
EP3422412A3 (en) 2009-02-27 2019-05-01 D-Wave Systems Inc. Superconducting integrated circuit
JP2011164068A (ja) 2010-02-15 2011-08-25 Nippon Telegr & Teleph Corp <Ntt> 超伝導光検出素子
US9780764B2 (en) 2010-04-05 2017-10-03 Northrop Grumman Systems Corporation Phase quantum bit
WO2013100894A1 (en) * 2011-12-27 2013-07-04 Intel Corporation Method of forming low resistivity tanx/ta diffusion barriers for backend interconnects
US9136096B2 (en) * 2012-07-27 2015-09-15 Varian Semiconductor Equipment Associates, Inc. Three dimensional metal deposition technique
CN103199254B (zh) 2013-04-03 2016-08-10 深圳市贝特瑞新能源材料股份有限公司 一种锂离子电池石墨负极材料及其制备方法
US20150179914A1 (en) * 2013-12-23 2015-06-25 Intermolecular Inc. Annealed dielectrics and heat-tolerant conductors for superconducting electronics
US9634224B2 (en) 2014-02-14 2017-04-25 D-Wave Systems Inc. Systems and methods for fabrication of superconducting circuits
US9240378B2 (en) * 2014-05-16 2016-01-19 Taiwan Semiconductor Manufacturing Company Ltd. Method of forming a copper layer using physical vapor deposition
US10921180B2 (en) 2015-10-16 2021-02-16 Raytheon Bbn Technologies Corp. Methods and apparatus for improved sensor vibration cancellation
US9653398B1 (en) 2015-12-08 2017-05-16 Northrop Grumman Systems Corporation Non-oxide based dielectrics for superconductor devices
US10312141B2 (en) 2016-08-16 2019-06-04 Northrop Grumman Systems Corporation Preclean methodology for superconductor interconnect fabrication
US10312142B2 (en) 2016-11-28 2019-06-04 Northrop Grumman Systems Corporation Method of forming superconductor structures

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090183984A1 (en) * 2006-01-31 2009-07-23 Takashi Sakuma Seed Film Forming Method, Plasma-Assisted Film Forming System and Storage Medium
US20150119252A1 (en) * 2012-03-08 2015-04-30 D-Wave Systems Inc. Systems and methods for fabrication of superconducting integrated circuits
US20150179918A1 (en) * 2013-12-23 2015-06-25 Intermolecular Inc. Plasma cleaning of superconducting layers
US20150371847A1 (en) 2014-06-20 2015-12-24 Taiwan Semiconductor Manufacturing Company Ltd. Method for controlling semiconductor deposition operation

Also Published As

Publication number Publication date
US10763419B2 (en) 2020-09-01
CA3060218C (en) 2021-11-09
CA3060218A1 (en) 2019-02-21
WO2019036081A3 (en) 2019-04-18
KR20200003163A (ko) 2020-01-08
JP2020518725A (ja) 2020-06-25
JP7038139B2 (ja) 2022-03-17
AU2018317964B2 (en) 2020-09-03
US20180351072A1 (en) 2018-12-06
AU2018317964A1 (en) 2019-11-07
EP3631842A2 (en) 2020-04-08
WO2019036081A2 (en) 2019-02-21

Similar Documents

Publication Publication Date Title
AU2018270769B2 (en) Preclean and deposition methodology for superconductor interconnects
US8551877B2 (en) Sidewall and chamfer protection during hard mask removal for interconnect patterning
KR102284308B1 (ko) 초전도체 상호연결 제조를 위한 예비세정 방법
CA3041827C (en) Method of forming a superconductor interconnect structure
EP2865000A1 (en) Sidewall protection of low-k material during etching and ashing
US11783090B2 (en) Methodology for forming a resistive element in a superconducting structure
KR102403882B1 (ko) 초전도체 상호연결들을 위한 증착 방법

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant