KR100643853B1 - 반도체 소자의 다마신 배선 형성 방법 및 이에 의해 제조된반도체 소자 - Google Patents

반도체 소자의 다마신 배선 형성 방법 및 이에 의해 제조된반도체 소자 Download PDF

Info

Publication number
KR100643853B1
KR100643853B1 KR1020050048100A KR20050048100A KR100643853B1 KR 100643853 B1 KR100643853 B1 KR 100643853B1 KR 1020050048100 A KR1020050048100 A KR 1020050048100A KR 20050048100 A KR20050048100 A KR 20050048100A KR 100643853 B1 KR100643853 B1 KR 100643853B1
Authority
KR
South Korea
Prior art keywords
forming
wiring
interlayer insulating
stop layer
semiconductor device
Prior art date
Application number
KR1020050048100A
Other languages
English (en)
Inventor
이효종
이의형
신홍재
이내인
이수근
Original Assignee
삼성전자주식회사
재단법인서울대학교산학협력재단
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사, 재단법인서울대학교산학협력재단 filed Critical 삼성전자주식회사
Priority to KR1020050048100A priority Critical patent/KR100643853B1/ko
Priority to US11/445,458 priority patent/US20070059923A1/en
Application granted granted Critical
Publication of KR100643853B1 publication Critical patent/KR100643853B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

반도체 소자의 배선 형성 방법 및 이에 의해 제조된 반도체 소자가 제공된다. 반도체 기판 상에 하부 배선을 형성하는 단계와, 상기 하부 배선을 노출시키는 개구부를 정의하는 몰드 패턴을 형성하는 단계와, 상기 몰드 패턴에 의해 정의되는 개구부를 도전물질로 채워 비아를 형성하는 단계와, 상기 몰드 패턴을 제거하여 상기 하부 배선 상에 비아를 잔류시키는 단계와, 상기 하부 배선 및 잔류된 비아를 덮는 층간 절연막을 형성하는 단계와, 상기 층간 절연막을 패터닝하여 상기 비아를 노출시키며 배선이 형성될 영역을 정의하는 트렌치를 형성하는 단계 및 상기 트렌치를 매립하여 상기 비아와 접속하는 다마신 배선을 형성하는 단계를 포함한다.
반도체 소자, 몰드 패턴, 다마신 배선, 비아, 트렌치

Description

반도체 소자의 다마신 배선 형성 방법 및 이에 의해 제조된 반도체 소자{Method for fabricating damascene interconnection line in a semiconductor device and semiconductor device fabricated by the same}
도 1 내지 도 3은 종래의 반도체 소자의 듀얼 다마신 배선 형성 방법의 공정 단계별 단면도들이다.
도 4 내지 도 11은 본 발명의 일 실시예에 따른 반도체 소자 배선 형성 방법의 공정 단계별 단면도들이다.
도 12 및 도 13은 본 발명의 다른 실시예에 따른 반도체 소자 배선 형성 방법의 공정 단계별 단면도들이다.
도 14 내지 도 16은 본 발명의 또 다른 실시예에 따른 반도체 소자 배선 형성 방법의 공정 단계별 단면도들이다.
<도면의 주요 부분에 대한 부호의 설명>
100: 반도체 기판 110: 하부 배선
120: 몰드 패턴 131: 비아
140: 확산 방지 및 식각 정지막 150: 층간 절연막
161: 다마신 배선
본 발명은 반도체 소자의 배선 형성 방법 및 이에 의해 제조된 반도체 소자에 관한 것으로, 보다 구체적으로는 공정이 단순하면서도 신뢰성 있는 반도체 소자의 다마신 배선 형성 방법 및 이에 의해 제조된 반도체 소자에 관한 것이다.
반도체 소자의 고성능화 및 고집적화를 위해서 다층 배선 구조가 널리 적용되고 있다. 다층 배선 구조를 채택한 소자를 신뢰도 있게 구현하기 위해서는 각 배선층을 전체적으로 평탄하게 형성하여야 한다. 이를 위해 듀얼 다마신 배선이 적용되기 시작했다.
도 1 내지 도 3은 종래의 반도체 소자의 듀얼 다마신 배선 형성 방법의 공정 단계별 단면도들이다.
도 1을 참조하면, 소정의 하부 배선(20)이 형성된 반도체 기판(10) 상에 식각 정지막(30)을 형성한다. 이어서, 식각 정지막(30) 상에 층간 절연막(40)을 형성한다. 다음에, 층간 절연막(40)을 패터닝하여 식각 정지막(30) 상면을 노출시키는 제1 개구부(51)를 형성한다. 이어서, 제1 개구부(51)를 소정의 충전재(60)로 채운다.
도 2를 참조하면, 제 1 개구부(51)보다 폭이 크며 층간 절연막(40)을 일부 노출시키는 배선 영역인 제 2 개구부(52)를 정의하는 포토레지스트 패턴(70)을 형성한다. 제 2 개구부(52)의 위치는 제 1 개구부(51)의 위치에 대응되도록 형성한다. 포토레지스트 패턴(70)을 식각 마스크로 사용하여 층간 절연막(40) 및 충전재 (60)를 건식 식각한다. 상기 식각에 의하여, 층간 절연막(40) 내에 제 2 개구부(52)에 따른 배선 영역이 형성되게 된다.
도 3을 참조하면, 포토레지스트 패턴(70)과 제1 개구부(51)에 잔류하는 충전재(60)를 제거하여 식각 정지막(30) 상면이 노출되도록 한다. 이어서, 제 1 개구부(51)에 의해 노출되는 식각 정지막(30)을 제거하여 하부 배선(20)과 배선 영역(52')을 연결하기 위한 비아 영역(51')을 형성한다. 이어서, 비아 영역(51')과 배선 영역(52')에 장벽 도전막(80)을 형성하고, 도전 물질을 매립한 다음 평탄화하여 비아(91)와 듀얼 다마신 배선(92)을 완성한다.
그러나 상기한 바와 같은 종래의 듀얼 다마신 배선은 제 1 개구부 형성시 사용한 식각 가스가 층간 절연막 내에 잔류하여 후속의 제 2 개구부 형성시 포토레지스트 패턴이 손상되는 것을 방지하기 위하여 충전재를 사용하여야 하므로 공정이 복잡하다.
또, 도전 물질로 비아를 채우기 위해 전기 도금법을 사용하는데, 이때 도금 물질은 비아의 기저부로부터 뿐만 아니라 비아의 측벽으로부터도 성장하게 된다. 따라서 측벽으로부터의 성장 속도가 상대적으로 빠를 경우 비아의 입구를 폐쇄하여 비아가 도전 물질로 모두 채워지지 않게 되어 하부 배선과 다마신 배선을 전기적으로 접속시키지 못하거나, 전기적 특성이 저하될 수 있다. 반도체 소자의 디자인 룰이 90nm 나아가 65nm, 45nm 등으로까지 감소함에 따라 이러한 현상은 더 늘어날 것으로 보인다.
또한 듀얼 다마신 배선은 상부의 다마신 배선과 비아를 구성하는 물질이 동 일한데, 다마신 배선에서 요구되는 저저항 도전 물질과, 비아에서 요구되는 SIV(Stress Induced Voiding), EM(ElectroMigration)에 대한 내성이 강한 물질은 다를 경우 이러한 요구를 동시에 만족시키기 어렵다.
본 발명이 이루고자 하는 기술적 과제는 공정이 단순하면서도 신뢰성 있는 반도체 소자의 다마신 배선 형성 방법을 제공하고자 하는 것이다.
본 발명이 이루고자 하는 다른 기술적 과제는 상기한 바와 같은 다마신 배선 형성 방법에 의해 제조된 다마신 배선을 포함하는 반도체 소자를 제공하고자 하는 것이다.
본 발명이 이루고자 하는 기술적 과제들은 이상에서 언급한 기술적 과제들로 제한되지 않으며, 언급되지 않은 또 다른 기술적 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기 기술적 과제를 해결하기 위한 본 발명의 일 실시예에 따른 반도체 소자의 다마신 배선 형성 방법은 반도체 기판 상에 하부 배선을 형성하는 단계와, 상기 하부 배선을 노출시키는 개구부를 정의하는 몰드 패턴을 형성하는 단계와, 상기 몰드 패턴에 의해 정의되는 개구부를 도전물질로 채워 비아를 형성하는 단계와, 상기 몰드 패턴을 제거하여 상기 하부 배선 상에 비아를 잔류시키는 단계와, 상기 하부 배선 및 잔류된 비아를 덮는 층간 절연막을 형성하는 단계와, 상기 층간 절연막을 패터닝하여 상기 비아를 노출시키며 배선이 형성될 영역을 정의하는 트렌치를 형성 하는 단계 및 상기 트렌치를 매립하여 상기 비아와 접속하는 다마신 배선을 형성하는 단계를 포함한다.
상기 다른 기술적 과제를 해결하기 위한 본 발명의 일 실시예에 따른 반도체 소자는 하부 배선과, 상기 하부 배선에 전기적으로 접속하도록 도전 물질이 채워지고, 측벽에 확산 방지 및 식각 정지막이 형성되어 있는 비아 및 상기 비아와 전기적으로 접속하는 다마신 배선을 포함한다.
기타 실시예의 구체적인 사항들은 상세한 설명 및 첨부 도면들에 포함되어 있다.
본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예를 참조하면 명확해질 것이다. 그러나, 본 발명은 이하에서 개시되는 실시예에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시예는 본 발명의 개시가 완전하도록 하며, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 명세서 전체에 걸쳐 동일 참조 부호는 동일 구성요소를 지칭한다.
이하 도 4 내지 도 11을 참조하여, 본 발명의 일 실시예에 따른 반도체 소자의 다마신 배선 형성 방법을 설명한다. 도 4 내지 도 11은 본 발명의 일 실시예에 따른 반도체 소자 배선 형성 방법의 공정 단계별 단면도들이다.
먼저 도 4에 도시되어 있는 바와 같이 배선 형성 방법이 적용될 반도체 기판(100)에 하부 배선(110)을 형성한다. 반도체 기판(100) 상에는 다양한 종류의 능동 소자 및 수동 소자 등을 포함될 수 있다. 하부 배선(110)은 다양한 종류의 배선 물질, 예컨대, 구리, 구리 합금, 알루미늄, 알루미늄 합금 등으로 이루어질 수 있다. 저저항 관점에서 하부 배선(110)은 구리로 형성되는 것이 바람직하다. 하부 배선(110)의 표면 또한 평탄화되어 있는 것이 바람직하다.
도 5를 참조하면, 하부 배선(110)이 형성되어 있는 반도체 기판(100) 위에 개구부(130)를 정의하는 몰드 패턴(120)을 형성한다. 이러한 몰드 패턴(120)은 예를 들어 포토레지스트막으로 형성될 수 있다. 이때 하부 배선(110)은 개구부(130)를 통해 일부가 노출된다.
이하, 몰드 패턴(120)으로서 포토레지스트막으로 이루어진 몰드 패턴(120)을 사용한 예를 들어 구체적으로 설명하면, 먼저 하부 배선(110)이 형성된 반도체 기판(100) 상에 포토레지스트를 예컨대 스핀 방식 등을 사용하여 균일하게 도포하여 포토레지스트막을 형성한다.
이어서, 약 90 내지 120°의 열판을 이용해 도포된 포토레지스트 내부의 용제 및 수분을 증발시켜 액체 상태의 포토레지스트를 고체화시키는 소프트 베이크 과정을 거친다. 이어서, 스텝퍼(stepper) 등과 같은 노광 장비를 이용하여 개구부(130)를 정의하는 포토마스크 패턴에 따라 빛을 조사한다. 노광 후 소프트 베이크된 포토레지스트막을 테트라메틸 암모늄 하이드록사이드 등의 현상액에 담그면 노광부만 현상액에 용해되어 제거되어 도 5에 도시되어 있는 바와 같은 개구부(130)를 정의하는 포토레지스트막으로 이루어진 몰드 패턴(120)이 완성된다. 이때 현상을 거친 반도체 기판(100)을 약 100 내지 130°에서 하드 베이크하여 포토레지스트 막으로 이루어진 몰드 패턴(120)을 보다 단단하게 하고 밀착력을 증가시키며, 후속의 물리, 화학적 자극에 대해 강한 저항력을 갖도록 하는 것이 바람직하다.
상기한 바와 같은 몰드 패턴(120)은 몰드 패턴(120)에 의해 정의되는 개구부(130) 내에서 비아가 형성될 수 있는 충분한 두께를 갖도록 하며, 예를 들어 2000 내지 20000Å일 수 있고, 바람직하기로는 4000 내지 10000Å일 수 있다. 그러나 이에 제한되는 것은 아니고, 반도체 소자의 종류, 비아의 크기 등에 따라 상기 범위 이외의 두께를 가질 수도 있다.
도 6을 참조하면, 하부 배선(110)이 노출되어 있는 개구부(130)에 도전 물질을 채워 비아(131)를 형성한다. 비아(131)를 형성하는 도전 물질로는 이에 제한되는 것은 아니지만, 예를 들면 Cu, Ni, Sn, W 또는 이들의 합금을 사용할 수 있다. 이러한 도전 물질에 의해 하부 배선(110)과 상부의 다마신 배선이 전기적으로 접속할 수 있다.
도전 물질은 몰드 패턴(120)에 의해 정의되는 개구부(130)에 선택적으로 채워질 수 있다. 개구부(130) 이외의 몰드 패턴(120) 상에 도전 물질이 도포될 경우 무용하게 제거될 뿐만 아니라, 후속하는 몰드 패턴(120)의 제거가 어려워질 수 있다. 따라서 바람직하기로는 비아(131)는 몰드 패턴(120)에 의해 정의되는 개구부(130) 내에 형성될 수 있다.
여기서 도전 물질은 개구부(130)의 기저부, 즉 개구부(130)에 의해 노출되어 있는 하부 배선(110)으로부터 채워져서 개구부(130) 내에서 상측 방향으로 성장하도록 할 수 있다. 예를 들어 개구부(130)의 기저부와 동시에 개구부(130)의 측벽으 로부터 도전 물질이 채워지며 성장하게 되면, 하부로부터 도전 물질이 채워지기 전에 개구부(130)의 상부가 미리 폐쇄되어 버리는 핀치오프(pinch-off) 현상이 발생할 수 있다. 따라서 상기한 바와 같이 하부 배선(110)으로부터 상측 방향으로만 성장함으로써, 이러한 현상을 방지할 수 있다.
이렇게 개구부(130)의 기저부, 즉 하부 배선(110)으로부터 상측 방향으로 도전 물질을 채우는 방법으로는 무전해 도금법이 사용될 수 있다. 무전해 도금법은 외부로부터 전기에너지를 공급받지 않고 금속염 수용액 중의 금속 이온을 환원제의 힘에 의해 자기 촉매적으로 환원시켜 피처리물의 표면 위에 도금하는 방식이다. 이러한 무전해 도금법을 이용하면 하부 배선(110)에 도금 이온이 선택적으로 적층되고, 이렇게 적층된 도금 이온에 다시 도금 이온이 적층되는 방식으로 하부 배선(110)으로부터 상방향으로 도전 물질이 성장할 수 있다.
도 7은 이러한 무전해 도금법을 이용하여 구리 도금을 수행한 결과를 나타낸다. 도 7은 비아가 형성되어 있는 반도체 기판에 대해 충분한 시간동안 무전해 도금한 결과를 나타내는 사진이다. 도 7에 도시된 바와 같이 무전해 도금을 통해 개구부에서 구리가 도금되어 상측으로 성장하며, 몰드 패턴 상에서는 구리의 도금 및 증착이 일어나지 않음을 알 수 있다.
다시 도 6을 참조하면, 하부 배선(110)으로부터 상측 방향으로 도전 물질을 채우는 다른 방법으로 화학 기상 증착법(Chemical Vapor Deposition; CVD)을 들 수 있다. CVD는 반응성 가스를 진공 챔버 내에 주입하여 적당한 활성 및 열 에너지를 가하여 화학 반응을 유도함으로써 박막을 증착시키는 기술로서, 예를 들어 텅스텐 의 경우 선택적 증착이 가능하다. 따라서 하부 배선(110) 상에 선택적으로 성장시킬 수 있다.
한편 본 실시예에서는 비아(131)를 먼저 형성한 후 상부에 다마신 배선이 형성된다. 즉 비아(131) 형성 단계와 다마신 배선 형성 단계가 분리되어 있기 때문에 비아(131)를 구성하는 도전 물질을 다마신 배선을 구성하는 물질과 다른 물질로 사용하기 용이하다. 따라서 예를 들어 다마신 배선에는 저저항 관점에서 구리 또는 구리 합금을 사용하는 경우에도, 비아(131)를 구성하는 물질로는 구리 또는 구리 합금보다 SIV(Stress Induced Voiding), EM(Electromigration) 등에 대해 내성이 강한 도전 물질, 예컨대 니켈 등을 사용할 수 있다.
도 8을 참조하면, 반도체 기판(100)의 하부 배선(110) 상에 비아(131)를 형성한 다음 포토레지스트막으로 이루어진 몰드 패턴(도 6의 120)을 제거한다.
여기서 포토레지스트막으로 이루어진 몰드 패턴(도 6의 120)을 제거하기 위해 일반적인 애슁(ashing) 및 포토레지스트 스트립(strip) 공정을 사용할 수 있으나, 이때 비아(131)의 상부가 노출되어 있고, 포토레지스트막으로 이루어진 몰드 패턴(도 6의 120)의 제거 과정에서 하부 배선(110) 및 비아(131)의 측벽이 노출되어 있으므로 스트립 과정에서 비아(131) 또는 하부 배선(110)이 손상될 수 있다. 따라서 포토레지스트막으로 이루어진 몰드 패턴(도 6의 120)을 제거하기 위해서는 애슁과 같은 물리적 제거 방법을 사용하지 않고 스트리퍼만을 사용하는 습식 제거 방법을 사용하는 것이 바람직하다.
습식 제거에 사용되는 포토레지스트 제거제는 비아(131) 또는 하부 배선 (110)에 손상을 주지 않으면서 포토레지스트막을 선택적으로 제거할 수 있는 것을 사용하며, 예를 들어 적어도 하나의 아민계 물질을 포함하는 스트리퍼(stripper)를 사용할 수 있다. 여기서 상기 아민계 물질로는 예를 들어 N-메틸에탄올아민(N-Methylethanolamine), 모노 에탄올아민(Mono Ethanolamine), 하이드록실아민(Hydroxylamine), 디글리콜아민(Diglycolamine) 등을 들 수 있으며, 이에 제한되지 않는다. 또한, 상기 스트리퍼는 N-디메틸아세트아미드(N-Dimethylacetamide), 카테콜(Catechol), 수산화암모늄(NH4OH), 아세트산(CH3COOH), H2O 등을 포함할 수 있다.
상기 포토레지스트막의 습식 제거에 사용되는 스트리퍼에 포함될 수 있는 것으로 열거된 물질들은 제거되는 포토레지스트의 종류, 두께 등과 비아 또는 하부 배선을 구성하는 물질의 종류 등에 따라 적절하게 조합하여 사용할 수 있으며, 필요에 따라 다른 종류의 물질을 첨가하여 사용할 수 있다.
포토레지스트막으로 이루어진 몰드 패턴(도 6의 120)을 선택적으로 제거하게 되면, 도 8에 도시되어 있는 바와 같이 하부 배선(110) 상에 비아(131)가 잔류하게 된다.
도 9를 참조하면, 하부 배선(110) 및 잔류된 비아(131)를 덮는 확산 방지 및 식각 정지막(140)을 형성한다.
확산 방지 및 식각 정지막(140)은 하부 배선(110)을 구성하는 물질, 예컨대, 구리의 확산을 방지하고, 후속의 트렌치 형성을 위한 건식 식각 공정시 비아(131)를 구성하는 도전 물질이 식각 공정에 노출되어 전기적 특성이 손상되는 것을 방지 하기 위하여 형성한다. 확산 방지 및 식각 정지막(140)은 층간 절연막(150)과 식각 선택비를 갖는 것이 바람직하며, 예를 들어 유전율이 4-5 인 SiC, SiN, SiCN 등으로 형성할 수 있다. 확산 방지 및 식각 정지막(140)의 두께는 전체 층간 절연막(150)의 유전율에 미치는 영향을 고려하여 가능한 최소화하되, 확산 방지 및 식각 정지 기능을 수행하기에 충분한 두께로 형성한다.
한편, 하부 배선(110) 및 비아(131)를 구성하는 물질의 확산이 문제되지 않는 경우, 예를 들어 하부 배선(110)이 텅스텐(W)으로 이루어진 경우에는 층간 절연막(150)으로의 확산 문제가 발생하지 않기 때문에 확산 방지 및 식각 정지막(140) 형성을 생략할 수 있다. 이 경우 트렌치 형성을 위한 건식 식각시 식각 정지막이 존재하지 않지만, 시간 제어 식각 정지 방식 등을 이용하여 비아(131)의 손상을 줄일 수 있다.
이어서, 확산 방지 및 식각 정지막(140) 위에 층간 절연막(150)을 형성한다. 확산 방지 및 식각 정지막(140) 형성이 생략된 경우에는 비아(131) 및 하부 배선(110) 상에 층간 절연막(150)이 형성된다. 이러한 층간 절연막(150)은 열적 안정성이 우수하고 유전율이 낮은 저유전율 물질로 형성한다. 하부 배선(110)과 형성하고자 하는 다마신 배선 간의 RC 신호 지연을 방지하고 상호 간섭 및 전력 소비의 증가를 억제하기 위해 층간 절연막(150)은 저유전율 물질로 형성하는 것이 바람직하다. 층간 절연막(150)은 내부에 비아(131) 및 트렌치를 형성하기에 충분한 두께를 가지며 저유전률(Low-k)을 갖는 유기 폴리머 또는 무기물로 형성할 수 있다.
저유전률을 갖는 유기 폴리머로는 폴리알릴에테르계 수지, 환상 불소 수지, 실록산 공중합체, 불화 폴리알릴에테르계 수지, 폴리펜타 플루오르스티렌(polypentafluorostylene), 폴리테트라플루오르스티렌계 수지, 불화 폴리이미드 수지, 불화 폴리나프탈렌(polynaphthalene fluride), 폴리사이드(polycide) 수지 등이 사용될 수 있다.
무기물로는 USG(Undoped Silicate Glass), TEOS(TetraEthylOrthoSilicate), FSG(Fluorine-doped Silicate Glass), OSG(OrganoSilicateGlass) (SiOC(SiOC:H), HSQ(HydrogenSilsesQuioxane), MSQ(Methyl SilsesQuioxane) 등이 사용될 수 있다.
층간 절연막(150)의 종류는 층간 절연막(150) 내에 형성될 다마신 배선의 피치와 이에 따른 RC 특성에 따라 결정될 수 있다. 피치가 큰 경우에는 USG, TEOS 등이 사용되고, 피치가 작은 경우에는 보다 저유전율인 FSG, OSG 등이 사용될 수 있다.
이러한 층간 절연막(150)은 PECVD(Plasma Enhanced CVD), HDP-CVD(High Density Plasma CVD), APCVD(Atmospheric Pressure CVD), 스핀 코팅(spin coating) 방식 등을 사용하여 형성할 수 있다.
보다 원활한 후속 공정을 위해서는 층간 절연막(150)은 상면이 평탄하게 형성되는 게 바람직하며, 예를 들어 스핀 코팅 방식으로 형성할 수 있다. 층간 절연막(150)을 예를 들어 CVD를 이용하여 형성하는 경우, 층간 절연 물질을 증착시키게 되면 돌출된 비아(131)의 형상에 따라 층간 절연막(150)이 단차를 이루며 컨포말하게 형성된다. 즉, 비아(131)의 상부에 존재하는 층간 절연막(150)은 다른 곳에 비해 상대적으로 돌출되게 된다. 따라서 증착된 층간 절연 물질의 상면을 에치백 또 는 CMP 등을 이용하여 평탄화하는 공정이 필요하다.
층간 절연막(150)은 3000 내지 20000Å 두께로, 바람직하기로는 6000 내지 7000Å 두께로 형성한다. 그러나, 층간 절연막(150)의 두께는 당업자에 의해 다양하게 변형가능함은 물론이다.
도 10을 참조하면, 층간 절연막(150)을 패터닝하여 비아(131)를 노출시키며 배선이 형성될 영역을 정의하는 트렌치(160)를 형성한다. 구체적으로 먼저 층간 절연막(150) 상에 포토레지스트를 도포한다. 이어서, 배선이 형성될 영역인 트렌치(160)를 정의하는 마스크를 사용하여 포토레지스트막을 노광하고 현상하여 트렌치(160)를 정의하는 포토레지스트 패턴(미도시)을 형성한다. 이어서 포토레지스트 패턴(미도시)을 식각 마스크로 사용하여 층간 절연막(150)을 식각하고 확산 방지 및 식각 정지막(140)을 노출시킨다.
트렌치(160) 식각은 예를 들어 건식 식각에 의해 진행될 수 있는데, 이때 이용되는 식각 가스로는 예를 들어 O2를 포함하는 가스 또는 N2 및 H2를 포함하는 가스 등을 들 수 있다. 구체적으로 CxFy 또는 CxHyFz 등의 주 식각 가스와 Ar 가스 등의 불활성 가스의 혼합 가스 또는 여기에 O2, N2, 및 COx 로부터 선택된 하나 이상의 가스가 더 첨가된 혼합 가스를 사용하여 진행할 수 있다. 확산 방지 및 식각 정지막(140)은 층간 절연막(150)과 식각 선택비가 있으며, 층간 절연막(150)과 확산 방지 및 식각 정지막(140)의 두께, 식각 선택비 등을 고려하여 확산 방지 및 식각 정지막(140)이 식각되어 비아(131)가 노출되지 않는 조건에서 식각 공정을 진행 하는 것이 바람직하다. 상기한 바와 같은 트렌치(160) 식각에 의해 확산 방지 및 식각 정지막(140)이 일부 노출된다.
이어서, 확산 방지 및 식각 정지막(140)의 노출된 부분을 제거하여 비아(131)의 상부를 노출시킨다. 확산 방지 및 식각 정지막(140)의 제거는 예를 들어 에치백 공정으로 수행될 수 있다. 이때 에치백 공정에 사용되는 가스는 확산 방지 및 식각 정지막(140)을 구성하는 물질에 따라 변형 가능하다. 예를 들어 확산 방지 및 식각 정지막(140)이 SiN으로 이루어진 경우, CF4, CHF3, O2 등의 가스를 적절히 혼합하여 사용할 수 있으며, 이에 제한되지 않는다.
한편 확산 방지 및 식각 정지막(140)의 형성이 생략된 경우에는 식각을 진행하면서 비아(131)가 노출되지 않거나 비아(131)가 너무 많이 식각될 수 있는데, 이를 방지하기 위해 식각 시간과 식각 조건을 적절히 조절하여 제어한다. 정밀한 제어를 위해서는 식각 속도가 너무 빠르지 않은 것이 바람직하며, 동일한 식각 조건을 유지할 필요가 있다.
도 11을 참조하면, 트렌치(도 10의 160) 영역에 장벽 금속막(170)을 형성한다. 장벽 금속막(170)의 재료로는 Ta, TaN, TiN, WN, TaC, WC, TiSiN, TaSiN 중에서 선택된 적어도 하나를 사용할 수 있으며, 형성 방법으로는 PVD(Physical Vapor Deposition), CVD(Chemical Vapor Deposition), ALD(Atomic Layer Deposition) 중에서 선택된 어느 하나의 방법을 사용할 수 있다. 이러한 장벽 금속막(170)은 특히 도전 물질로 구리(Cu)를 사용하는 경우 구리의 확산에 의한 층간 절연막(130)의 절 연특성 열화 등을 방지하기 위하여 사용하지만, 도전 물질의 종류나 기타 다른 필요에 따라 생략하는 것도 가능하다.
이어서 트렌치(도 10의 160)에 도전 물질을 매립한 다음 CMP를 이용하여 평탄화하여 다마신 배선(161)을 형성한다. 도전 물질은 알루미늄(Al), 알루미늄 합금(Al-alloy), 구리(Cu), 금(Au), 은(Ag), 텅스텐(W) 및 몰리브데늄(Mo)으로 이루어진 군에서 선택된 적어도 어느 하나로 구성될 수 있다. 그리고, 도전 물질을 매립하는 방법은 스퍼터링(Sputtering)법으로 형성한 후 리플로우(reflow)하는 방법, CVD(Chemical Vapor Deposition)법으로 형성하는 방법, 전기도금법(Electroplating) 중에서 선택된 어느 하나의 방법으로 형성할 수 있다. 전기도금법을 이용하는 경우에는 전해시에 전류를 흘리기 위하여 시드층(seed layer)을 형성할 필요가 있다.
도 12 및 도 13을 참조하여, 본 발명의 다른 실시예에 따른 반도체 소자의 다마신 배선 형성 방법을 설명한다. 본 발명의 다른 실시예에 따른 반도체 소자의 다마신 배선 형성 방법은 몰드 패턴을 절연막으로 형성하여 비아를 형성하는 방법에 관한 것이다.
먼저 도 12를 참조하면, 반도체 기판에 하부 배선을 형성하는 단계까지는 본 발명의 일 실시예에서 설명한 바와 동일한 방법으로 진행한다. 이어서, 하부 배선(110)이 형성되어 있는 반도체 기판(100) 상에 몰드층(120')을 형성한다. 몰드층(120')으로는 후속하는 사진 식각 공정에 의해 패터닝될 수 있고, 비아 형성 공정을 수행하는 데에 영향을 주지 않으며, 몰드 패턴의 제거가 가능한 어떠한 물질을 사용하여도 무방하며, 예를 들어 절연막으로 형성할 수 있다. 후속 공정에서 몰드 패턴의 제거는 이러한 몰드층에 따라 적절히 선택될 수 있으며, 예를 들어 리프트 오프(lift-off)법이 사용될 수 있다.
몰드층(120')을 형성한 다음 그 위에 포토레지스트를 도포한다. 이어서, 개구부(130)를 정의하는 포토마스크 패턴에 따라 빛을 조사한다. 노광 후 이를 현상하면 도 12에 도시된 바와 같이 포토레지스트 패턴(125)이 형성된다.
도 13을 참조하면, 포토레지스트 패턴(125)을 식각 마스크로 하여 몰드층(120')을 식각한다. 여기서 몰드층(120')의 식각은 몰드층(120')을 구성하는 물질에 따라 건식, 또는 습식 식각으로 진행할 수 있다. 이와 같은 몰드층(120')의 식각으로 하부 배선(110)이 노출되는데, 이때 식각 공정을 식각 선택비를 이용하거나, 시간 제어 식각 정지 방식 등을 이용하여 적절하게 정지함으로써 하부 배선(110)의 손상을 줄일 수 있다.
이어서 포토레지스트 패턴(125)을 제거하는데, 이때 하부 배선(110)이 노출되고 그 다음에 포토레지스트 패턴(125)을 제거하게 되면 포토레지스트 패턴(125) 제거 공정에서 하부 배선(110)이 손상될 수 있으므로, 상기 식각 공정에서 포토레지스트 패턴(125)이 동시에 제거되는 조건으로 진행하는 것이 바람직하다. 그 결과로서 개구부(130)를 정의하는 몰드 패턴(120'')이 반도체 기판(100) 상에 형성될 수 있다.
도면에는 도시되어 있지 않지만 후속의 비아 및 다마신 배선 형성 공정은 본 발명의 일 실시예에서와 동일한 방법으로 진행된다. 다만, 몰드 패턴(120'')을 제 거하는 방법은 몰드 패턴(120'')을 구성하는 물질 및 비아를 구성하는 물질에 따라 적절하게 선택할 수 있으며, 예를 들어 리프트 오프법(lift-off)을 사용할 수 있다.
도 14 내지 도 16을 참조하여, 본 발명의 또 다른 실시예에 따른 반도체 소자의 다마신 배선 형성 방법을 설명한다. 본 발명의 또 다른 실시예에 따른 반도체 소자의 다마신 배선 형성 방법은 하부 배선 및 잔류된 비아가 형성되어 있는 영역에 선택적으로 확산 방지 및 식각 정지막을 형성하는 방법에 관한 것이다.
먼저 도 14를 참조하면, 반도체 기판의 하부 배선(110) 상에 비아(131)를 잔류시키는 단계까지는 본 발명의 일 실시예에서 설명한 바와 동일한 방법으로 진행한다. 이어서, 선택적으로 하부 배선(110) 및 잔류된 비아(131)가 형성되어 있는 영역에 확산 방지 및 식각 정지막(140')을 형성한다. 확산 방지 및 식각 정지막(140')은 하부 배선(110)의 구성 물질이 층간 절연막(150)으로 확산하는 것을 방지하고, 트렌치 형성을 위한 건식 식각시, 비아(131)가 노출되는 것을 방지하는 식각 정지의 기능을 한다. 따라서, 도 14에 도시된 바와 같이 선택적으로 하부 배선(110) 및 잔류된 비아(131)가 형성되어 있는 영역에만 형성될 수 있다.
선택적인 영역에만 확산 방지 및 식각 정지막(140')을 구성하는 물질이 증착되도록 하기 위해 무전해 도금법을 사용할 수 있다. 이때 사용되는 확산 방지 및 식각 정지막(140')으로는 CoWP가 바람직하지만, 이에 제한되는 것은 아니다.
도 15 및 도 16을 참조하면, 트렌치(160)를 형성하는 단계 및 다마신 배선을 완성하는 단계는 선택적인 영역에만 확산 방지 및 식각 정지막(140')이 형성되어 있는 것을 제외하고는 본 발명의 일 실시예에서 설명한 바와 동일하다.
이상 설명한 본 발명의 일 실시예, 다른 실시예 및 또 다른 실시예에 따른 반도체 소자의 다마신 배선 형성 방법은 서로 조합하여 사용할 수 있으며, 당업자에게 공지된 기술을 사용하여 다양하게 변형하여 실시할 수 있음은 물론이다.
이하, 도 11을 참조하여 본 발명의 일 실시예에 따른 반도체 소자를 설명한다.
도 11에서 반도체 소자는 하부 배선(110)과 다마신 배선(161)이 측벽에 확산 방지 및 식각 정지막(140)이 형성되어 있는 비아(131)에 의해 전기적으로 접속된 구조를 갖는다.
하부 배선(110)은 반도체 기판(100)에 형성된다. 하부 배선(110)으로 사용되는 물질은 예를 들어 구리, 구리 합금, 알루미늄, 알루미늄 합금 등이 있으며, 저저항 관점에서 하부 배선(110)은 구리로 형성되는 것이 바람직하다. 하부 배선(110)의 표면 또한 평탄화되어 있는 것이 바람직하다.
하부 배선(110)은 상부의 다마신 배선(161)과 층간 절연막(150)에 의해 공간적으로 분리되며, 비아(131)에 의해 전기적으로 접속된다. 비아(131)는 하부 배선(110)과 다마신 배선(161)을 전기적으로 연결하도록 도전 물질이 채워져 있다. 예컨대 Cu, Ni, Sn, W 또는 이들의 합금을 사용할 수 있다.
층간 절연막(150)은 하부 배선(110)이 형성되어 있는 반도체 기판(100) 상에 형성된다. 층간 절연막(150)은 열적 안정성이 우수하고 유전율이 낮은 저유전율 물질로 형성된다. 층간 절연막(150)은 하부 배선(110)과 다마신 배선(161) 간의 RC 신호 지연을 방지하고 상호 간섭 및 전력 소비의 증가를 억제하도록 저유전율 물질로 형성될 수 있다. 층간 절연막(150)은 내부에 비아(131) 및 트렌치를 형성하기에 충분한 두께를 가지며 저유전률(Low-k)을 갖는 유기 폴리머 또는 무기물로 형성할 수 있다.
저유전률을 갖는 유기폴리머로는 폴리알릴에테르계 수지, 환상 불소 수지, 실록산 공중합체, 불화 폴리알릴에테르계 수지, 폴리펜타 플루오르스티렌(polypentafluorostylene), 폴리테트라플루오르스티렌계 수지, 불화 폴리이미드 수지, 불화 폴리나프탈렌(polynaphthalene fluride), 폴리사이드(polycide) 수지 등이 사용될 수 있다.
무기물로는 USG(Undoped Silicate Glass), TEOS(TetraEthylOrthoSilicate), FSG(Fluorine-doped Silicate Glass), OSG(OrganoSilicateGlass) (SiOC(SiOC:H), HSQ(HydrogenSilsesQuioxane), MSQ(Methyl SilsesQuioxane) 등이 사용될 수 있다.
층간 절연막(150)은 3000 내지 20000Å 두께로, 바람직하기로는 6000 내지 7000Å 두께로 형성한다. 그러나, 층간 절연막(150)의 두께는 당업자에 의해 다양하게 변형가능함은 물론이다.
확산 방지 및 식각 정지막(140)은 하부 배선(110) 및 비아(131)를 포함하는 반도체 기판(100)과 층간 절연막(150)의 경계에 개재되어 형성된다. 확산 방지 및 식각 정지막(150)은 하부 배선(110)과 비아(131)를 구성하는 물질이 층간 절연막(150)으로 확산하는 것을 방지하는 역할을 하기 때문에 이러한 확산 방지 및 식각 정지막(140)은 적어도 하부 배선(110) 및 비아(131)와 접촉하는 층간 절연막(151) 의 경계면에 개재되어 형성될 수 있다.
또한, 다마신 배선(161)과 층간 절연막(150) 및 비아(131) 사이에 장벽 금속막(170)이 형성될 수 있다. 장벽 금속막(170)의 재료로는 Ta, TaN, TiN, WN, TaC, WC, TiSiN, TaSiN 중에서 선택된 적어도 하나를 사용할 수 있다. 이러한 장벽 금속막(170)은 특히 도전 물질로 구리(Cu)를 사용하는 경우 구리의 확산에 의한 층간 절연막(130)의 절연특성 열화 등을 방지하기 위하여 사용할 수 있으나, 도전 물질의 종류나 기타 다른 필요에 따라 생략하는 것도 가능하다.
이하, 도 16을 참조하여 본 발명의 다른 실시예에 따른 반도체 소자를 설명한다. 본 실시예에 따른 반도체 소자는 확산 방지 및 층간 절연막(140')이 하부 배선(110)의 상면 및 비아(131)의 측벽에서만 접촉하도록 형성되어 있다. 확산 방지 및 식각 정지막(140')은 무전해 도금에 의한 선택적 증착이 용이한 CowP로 형성될 수 있다. 기타 본 실시예에 따른 반도체 소자의 다른 구조는 본 발명의 일 실시예에 따른 반도체 소자와 동일하므로 중복 부분에 대한 설명은 생략한다.
이상 첨부된 도면을 참조하여 본 발명의 실시예들을 설명하였으나, 본 발명은 상기 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 제조될 수 있으며, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명의 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.
상술한 바와 같이 본 발명의 일 실시예에 따른 반도체 소자의 배선 형성 방법에 의하면, 충전재의 사용이 불필요하여 공정의 효율을 높일 수 있다. 또한 핀치 오프 현상이 억제되고, 비아가 도전 물질로 채워지게 되어 전기적 특성이 유지되며, 신뢰성 있는 배선 형성이 가능하다. 아울러 다마신 배선과 비아의 형성 공정이 분리되기 때문에 비아에 다마신 배선에 사용되는 물질과 다른 물질을 사용하는 것이 가능하게 되어 SIV, EM 등의 현상을 방지하는데 효과적이다.

Claims (24)

  1. 반도체 기판 상에 하부 배선을 형성하는 단계;
    상기 하부 배선을 노출시키는 개구부를 정의하는 몰드 패턴을 형성하는 단계;
    상기 몰드 패턴에 의해 정의되는 개구부를 도전물질로 채워 비아를 형성하는 단계;
    상기 몰드 패턴을 제거하여 상기 하부 배선 상에 비아를 잔류시키는 단계;
    상기 하부 배선 및 잔류된 비아를 덮는 층간 절연막을 형성하는 단계;
    상기 층간 절연막을 패터닝하여 상기 비아를 노출시키며 배선이 형성될 영역을 정의하는 트렌치를 형성하는 단계; 및
    상기 트렌치를 매립하여 상기 비아와 접속하는 다마신 배선을 형성하는 단계를 포함하는 반도체 소자의 다마신 배선 형성 방법.
  2. 제1 항에 있어서,
    상기 몰드 패턴을 형성하는 단계는 상기 하부 배선이 형성되어 있는 반도체 기판 상에 포토레지스트막을 형성하는 단계; 및
    상기 포토레지스트막을 노광 및 현상하여 상기 하부 배선을 노출시키는 개구부를 포함하는 상기 몰드 패턴으로 형성하는 단계를 포함하는 반도체 소자의 다마신 배선 형성 방법.
  3. 제1 항 또는 제2 항에 있어서,
    상기 몰드 패턴을 제거하는 단계는 적어도 하나의 아민계 물질을 포함하는 스트리퍼에 의해 수행되는 반도체 소자의 배선 다마신 형성 방법.
  4. 제3 항에 있어서,
    상기 아민계 물질은 N-메틸에탄올아민, 모노 에탄올아민, 하이드록실아민 또는 디글리콜아민인 반도체 소자의 다마신 배선 형성 방법.
  5. 제1 항에 있어서,
    상기 몰드 패턴을 형성하는 단계는 상기 하부 배선이 형성되어 있는 반도체 기판 상에 몰드층을 형성하는 단계;
    상기 몰드층에 상기 개구부를 정의하는 포토레지스트 패턴을 형성하는 단계;
    상기 포토레지스트 패턴을 식각마스크로 사용하여 상기 몰드층을 식각하는 단계; 및
    상기 포토레지스트 패턴을 제거하여 상기 개구부를 정의하는 몰드 패턴을 형성하는 단계를 포함하는 반도체 소자의 다마신 배선 형성 방법.
  6. 제1 항에 있어서,
    상기 비아를 형성하는 단계는 상기 도전 물질이 상기 개구부 내에 선택적으 로 채워지도록 하는 단계인 반도체 소자의 다마신 배선 형성 방법.
  7. 제1 항에 있어서,
    상기 비아를 형성하는 단계는 무전해 도금법 또는 화학 기상 증착법에 의해 수행되는 반도체 소자의 다마신 배선 형성 방법.
  8. 제1 항에 있어서,
    상기 도전 물질은 Cu, Ni, Sn, W 또는 이들의 합금인 반도체 소자의 다마신 배선 형성 방법.
  9. 제8 항에 있어서,
    상기 다마신 배선은 알루미늄(Al), 알루미늄 합금(Al-alloy), 구리(Cu), 금(Au), 은(Ag), 텅스텐(W) 및 몰리브데늄(Mo)으로 이루어진 군으로부터 선택된 적어도 하나의 물질로 이루어지되, 상기 도전 물질은 상기 다마신 배선을 구성하는 물질과 다른 물질인 반도체 소자의 다마신 배선 형성 방법.
  10. 제1 항에 있어서,
    상기 층간 절연막을 형성하는 단계 이전에 확산 방지 및 식각 정지막을 형성하는 단계를 더 포함하고,
    상기 층간 절연막을 형성하는 단계는 상기 확산 방지 및 식각 정지막을 개재하여 상기 하부 배선 및 상기 잔류된 비아를 덮는 단계이며,
    상기 비아를 노출시키는 단계는 상기 층간 절연막을 상기 비아를 덮는 확산 방지 및 식각 정지막까지 식각하는 단계 및 상기 비아를 덮는 확산 방지 및 식각 정지막을 제거하여 상기 비아를 노출시키는 단계를 포함하는 단계인 반도체 소자의 다마신 배선 형성 방법.
  11. 제10 항에 있어서,
    상기 확산 방지 및 식각 정지막은 SiC, SiN 또는 SiCN으로 형성되는 반도체 소자의 다마신 배선 형성 방법.
  12. 제10 항에 있어서,
    상기 확산 방지 및 식각 정지막을 형성하는 단계는 상기 하부 배선 및 잔류된 비아가 형성되어 있는 영역에 선택적으로 상기 확산 방지 및 식각 정지막이 형성되는 단계인 반도체 소자의 다마신 배선 형성 방법.
  13. 제12 항에 있어서,
    상기 확산 방지 및 식각 정지막은 CoWP로 형성되는 반도체 소자의 다마신 배선 형성 방법.
  14. 제12 항에 있어서,
    상기 확산 방지 및 식각 정지막을 형성하는 단계는 무전해 도금법으로 수행되는 반도체 소자의 다마신 배선 형성 방법.
  15. 제1 항에 있어서,
    상기 층간 절연막을 형성하는 단계는 스핀 코팅 방식으로 수행되는 반도체 소자의 다마신 배선 형성 방법.
  16. 제1 항에 있어서,
    상기 층간 절연막을 형성하는 단계는 층간 절연 물질을 컨포말하게 증착하는 단계 및 상기 증착된 층간 절연 물질의 상면을 평탄화하는 단계를 포함하는 반도체 소자의 다마신 배선 형성 방법.
  17. 하부 배선;
    상기 하부 배선에 전기적으로 접속하도록 도전 물질이 채워지고, 측벽에 확산 방지 및 식각 정지막이 형성되어 있는 비아;
    상기 비아와 전기적으로 접속하는 다마신 배선; 및
    상기 다마신 배선과 비아의 경계면에 형성된 장벽 금속막을 포함하는 반도체 소자.
  18. 삭제
  19. 제17 항에 있어서,
    상기 장벽 금속막이 Ta, TaN, TiN, WN, TaC, WC, TiSiN 및 TaSiN 중에서 선택된 적어도 하나로 이루어진 반도체 소자.
  20. 제17 항에 있어서,
    상기 비아에 채워지는 상기 도전 물질은 Cu, Ni, Sn, W 또는 이들의 합금인 반도체 소자.
  21. 제20 항에 있어서,
    상기 다마신 배선은 알루미늄(Al), 알루미늄 합금(Al-alloy), 구리(Cu), 금(Au), 은(Ag), 텅스텐(W) 및 몰리브데늄(Mo)으로 이루어진 군으로부터 선택된 적어도 하나의 물질로 이루어지되, 상기 비아에 채워지는 상기 도전 물질은 상기 다마신 배선과 다른 물질인 반도체 소자.
  22. 제17 항에 있어서,
    상기 확산 방지 및 식각 정지막은 SiC, SiN 및 SiCN 중에서 선택된 적어도 하나로 이루어진 반도체 소자.
  23. 제17 항에 있어서,
    상기 확산 방지 및 식각 정지막은 상기 비아의 측벽으로부터 연결되어 상기 하부 배선 상면에 형성되어 있는 반도체 소자.
  24. 제23 항에 있어서,
    상기 확산 방지 및 식각 정지막은 CowP로 이루어진 반도체 소자.
KR1020050048100A 2005-06-04 2005-06-04 반도체 소자의 다마신 배선 형성 방법 및 이에 의해 제조된반도체 소자 KR100643853B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020050048100A KR100643853B1 (ko) 2005-06-04 2005-06-04 반도체 소자의 다마신 배선 형성 방법 및 이에 의해 제조된반도체 소자
US11/445,458 US20070059923A1 (en) 2005-06-04 2006-06-02 Methods of fabricating damascene interconnection line in semiconductor devices and semiconductor devices fabricated using such methods

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050048100A KR100643853B1 (ko) 2005-06-04 2005-06-04 반도체 소자의 다마신 배선 형성 방법 및 이에 의해 제조된반도체 소자

Publications (1)

Publication Number Publication Date
KR100643853B1 true KR100643853B1 (ko) 2006-11-14

Family

ID=37654041

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050048100A KR100643853B1 (ko) 2005-06-04 2005-06-04 반도체 소자의 다마신 배선 형성 방법 및 이에 의해 제조된반도체 소자

Country Status (2)

Country Link
US (1) US20070059923A1 (ko)
KR (1) KR100643853B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101034929B1 (ko) 2008-12-24 2011-05-17 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9653398B1 (en) * 2015-12-08 2017-05-16 Northrop Grumman Systems Corporation Non-oxide based dielectrics for superconductor devices
US10608159B2 (en) 2016-11-15 2020-03-31 Northrop Grumman Systems Corporation Method of making a superconductor device
US10276504B2 (en) 2017-05-17 2019-04-30 Northrop Grumman Systems Corporation Preclean and deposition methodology for superconductor interconnects
US10763419B2 (en) 2017-06-02 2020-09-01 Northrop Grumman Systems Corporation Deposition methodology for superconductor interconnects
KR102521222B1 (ko) 2017-11-15 2023-04-12 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10985059B2 (en) 2018-11-01 2021-04-20 Northrop Grumman Systems Corporation Preclean and dielectric deposition methodology for superconductor interconnect fabrication

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990051223A (ko) * 1997-12-19 1999-07-05 김영환 반도체 장치의 금속 배선 형성 방법
KR20040059935A (ko) * 2002-12-30 2004-07-06 주식회사 하이닉스반도체 반도체 소자의 금속 비트라인 형성방법

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5686356A (en) * 1994-09-30 1997-11-11 Texas Instruments Incorporated Conductor reticulation for improved device planarity
US6458263B1 (en) * 2000-09-29 2002-10-01 Sandia National Laboratories Cantilevered multilevel LIGA devices and methods
US6867327B2 (en) * 2002-12-16 2005-03-15 Basf Aktiengesellschaft Preparation and purification of hydroxylamine stabilizers
KR100487948B1 (ko) * 2003-03-06 2005-05-06 삼성전자주식회사 이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는방법
KR100552815B1 (ko) * 2003-12-31 2006-02-22 동부아남반도체 주식회사 반도체 소자의 듀얼 다마신 배선 형성 방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990051223A (ko) * 1997-12-19 1999-07-05 김영환 반도체 장치의 금속 배선 형성 방법
KR20040059935A (ko) * 2002-12-30 2004-07-06 주식회사 하이닉스반도체 반도체 소자의 금속 비트라인 형성방법

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
1019990051223 *
1020040059935 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101034929B1 (ko) 2008-12-24 2011-05-17 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법

Also Published As

Publication number Publication date
US20070059923A1 (en) 2007-03-15

Similar Documents

Publication Publication Date Title
US7317253B2 (en) Cobalt tungsten phosphate used to fill voids arising in a copper metallization process
KR100690881B1 (ko) 미세 전자 소자의 듀얼 다마신 배선의 제조 방법 및 이에의해 제조된 듀얼 다마신 배선을 구비하는 미세 전자 소자
CN106057772B (zh) 互连结构及其形成方法
KR100568257B1 (ko) 듀얼 다마신 배선의 제조방법
US9245792B2 (en) Method for forming interconnect structures
KR100745986B1 (ko) 다공 생성 물질을 포함하는 충전재를 사용하는 미세 전자소자의 듀얼 다마신 배선의 제조 방법
JP5255292B2 (ja) 2層金属キャップを有する相互接続構造体及びその製造方法
US8110342B2 (en) Method for forming an opening
KR100643853B1 (ko) 반도체 소자의 다마신 배선 형성 방법 및 이에 의해 제조된반도체 소자
KR102064571B1 (ko) 반도체 디바이스용 인터커넥트 구조
CN113471139A (zh) 形成互连结构的方法
CN110890319A (zh) 制造半导体器件的互连线的方法
KR20180061473A (ko) 반도체 장치 및 그 제조 방법
US6133143A (en) Method of manufacturing interconnect
US6225226B1 (en) Method for processing and integrating copper interconnects
KR100988783B1 (ko) 반도체 소자 및 그의 제조 방법
KR101107746B1 (ko) 반도체 소자의 금속배선 형성방법
KR101107229B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR20060068288A (ko) 금속 배선된 반도체 소자 및 반도체 소자 금속 배선 형성방법
US7662711B2 (en) Method of forming dual damascene pattern
US20060163731A1 (en) Dual damascene interconnections employing a copper alloy at the copper/barrier interface
KR20100011121A (ko) 반도체 소자 및 그의 제조 방법
US6869888B1 (en) E-beam flood exposure of spin-on material to eliminate voids in vias
KR100703561B1 (ko) 반도체 소자의 듀얼 다마신 패턴 형성 방법
KR100703560B1 (ko) 반도체 소자의 금속 배선 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121031

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20131031

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20141031

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20151030

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20181031

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20191031

Year of fee payment: 14