KR102401711B1 - 패턴을 층에 전사하기 위한 방법 - Google Patents

패턴을 층에 전사하기 위한 방법 Download PDF

Info

Publication number
KR102401711B1
KR102401711B1 KR1020207027547A KR20207027547A KR102401711B1 KR 102401711 B1 KR102401711 B1 KR 102401711B1 KR 1020207027547 A KR1020207027547 A KR 1020207027547A KR 20207027547 A KR20207027547 A KR 20207027547A KR 102401711 B1 KR102401711 B1 KR 102401711B1
Authority
KR
South Korea
Prior art keywords
layer
pyrolysis
pattern
substrate
pyrolysis layer
Prior art date
Application number
KR1020207027547A
Other languages
English (en)
Other versions
KR20200118212A (ko
Inventor
유키 기쿠치
도시하루 와다
가오루 마에카와
아키테루 고
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20200118212A publication Critical patent/KR20200118212A/ko
Application granted granted Critical
Publication of KR102401711B1 publication Critical patent/KR102401711B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02269Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by thermal evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Semiconductor Memories (AREA)

Abstract

패터닝된 층, 중간 층, 및 패터닝된 층의 패턴에 따라 에칭될 제1 층이 형성되는 공정이 제공된다. 중간 층은 열 기반 제거 공정에 의해 제거될 수 있는 열분해 층일 수 있다. 제1 층을 에칭한 후에, 열 기반 제거 공정을 사용함으로써, 제1 층을 변화시키지 않으면서, 중간 층이 기판으로부터 제거될 수 있다. 일 실시형태에서, 제1 층은 기억 층일 수 있으며, 공정은 다중 패터닝 공정일 수 있다.

Description

패턴을 층에 전사하기 위한 방법
본 출원은 "패턴을 층에 전사하기 위한 방법"이라는 명칭으로 2018년 3월 2일자로 출원된 미국 가특허출원 제62/637,743호, 및 "패턴을 층에 전사하기 위한 방법"이라는 명칭으로 2018년 5월 4일자로 출원된 미국 가특허출원 제62/666,751호에 대한 우선권을 주장하며, 이의 개시물은 그 전체 내용이 본원에 참조로 명시적으로 포함된다.
본 개시물은 기판의 공정에 관한 것이다. 특히, 이는 기판의 패터닝(patterning)을 위한 방법을 제공한다.
기판의 공정을 위한 포토리소그래피 기술의 사용은 오랫동안 알려져 왔다. 역사적으로, 포토리소그래피는 예를 들어 포토레지스트 층과 같은 층에 패턴을 형성한 다음, 에칭 공정을 통해 그 패턴을 다른 층에 전사하는 단계를 포함하였다.
기판 상에 형성되는 형상부(feature)의 임계 치수가 계속 축소됨에 따라, 하나의 패턴으로 달성 가능한 것보다 더 작은 임계 치수가 달성될 수 있도록 하기 위해, 다중 패터닝 기술이 사용되어 왔다. 따라서, 예를 들어, 이중 패터닝 공정, 삼중 패터닝 공정, 사중 패터닝 공정 등의 사용이 현재 알려져 있다. 이러한 공정의 일 실시예에서, 다수의 패턴이 순차적으로 패터닝된 다음, 에칭된 층으로 에칭됨으로써, 기판의 에칭된 층에서 원하는 최종 패턴을 달성한다. 예를 들어, 제1 포토리소그래피 패턴이 포토레지스트 층에 형성된 다음, 에칭 공정을 통해 기판의 에칭된 층에 전사될 수 있다. 일 실시예에서, 에칭된 층은 다중 패터닝 공정(예를 들어, 이중 패터닝 공정)의 기억 층(memorization layer)일 수 있다. 그 다음, 제2 포토리소그래피 패턴이 포토레지스트 층에 형성될 수 있고, 다른 에칭 공정을 통해 동일한 에칭된 층(예를 들어, 기억 층)에 전사될 수 있다. 이러한 방식으로, 단지 하나의 포토리소그래피 층을 사용하여 달성 가능한 것보다 더 작은 임계 치수를 갖는 2개의 포토리소그래피 패턴이 에칭된 층(예를 들어, 기억 층)에 전사될 수 있다.
그러나, 최종 패턴이 제조될 에칭된 층(예를 들어, 기억 층)에 대한 다수의 공정 단계의 수행은 에칭된 층의 원치 않는 손상을 초래할 수 있음이 확인되었다. 일 실시형태에서, 포토리소그래피 공정 흐름의 일부로서, 포토레지스트 층과 에칭된 층 사이에 (평탄화 층을 포함하는) 다른 층이 형성될 수 있다. 그러한 다른 층은 에칭된 층의 제1 에칭 후에 기판으로부터 제거될 수 있다. 일 실시예에서, 다른 층은 평탄화 층을 포함할 수 있다. 종래기술에 알려진 바와 같이, 에칭된 층에 제1 패턴이 형성된 후에, 제1 평탄화 층이 기판으로부터 제거될 수 있다. 그 다음, 제2 포토레지스트 층으로 기판을 코팅하기 전에, 기판 상에 다른 평탄화 층이 형성될 수 있다. 평탄화 층에 사용되는 전형적인 재료는 유기 평탄화 층을 포함한다.
본원에 설명된 바와 같이, 제1 평탄화 층의 제거는 에칭된 층의 원치 않는 손상을 초래할 수 있음이 확인되었다. 따라서, 에칭된 층의 원치 않는 변화를 초래하지 않으면서, 에칭된 층으로부터 재료가 제거될 수 있는 공정을 사용하는 것이 바람직할 것이다.
패터닝된 층, 중간 층(intervening layer), 및 패터닝된 층의 패턴에 따라 에칭될 제1 층이 형성되는 공정이 제공된다. 중간 층은 열 기반 제거 공정에 의해 제거될 수 있는 열분해 층일 수 있다. 제1 층을 에칭한 후에, 열 기반 제거 공정을 사용함으로써, 제1 층을 변화시키지 않으면서, 중간 층이 기판으로부터 제거될 수 있다. 일 실시형태에서, 제1 층은 기억 층일 수 있으며, 공정은 다중 패터닝 공정일 수 있다.
일 실시형태에서, 기판을 에칭하는 방법이 제공된다. 방법은, 기판 상에 제1 층을 제공하는 단계; 기판 상에 제1 패턴을 갖는 제1 패터닝된 층을 제공하는 단계; 및 제1 패터닝된 층과 제1 층 사이에 하나 이상의 중간 층을 제공하는 단계를 포함할 수 있으며, 적어도 하나의 중간 층은 제1 열분해 층이다. 방법은, 제1 층에 제1 패턴을 형성하기 위해 제1 층을 에칭하는 단계, 및 제1 열분해 층에 열에너지를 인가함으로써 제1 열분해 층을 제거하는 단계를 더 포함한다.
다른 실시형태에서, 기판을 패터닝하는 방법이 제공된다. 방법은, 포토레지스트 패터닝된 층, 제1 열분해 층, 및 기억 층을 포함하는 구조물을 기판에 제공하는 단계를 포함할 수 있으며, 포토레지스트 패터닝된 층의 패턴은 라인, 공간 및/또는 비아(via)를 포함한다. 방법은, 열분해 층 상에 패턴의 라인을 남기면서, 기억 층으로의 패턴의 공간 및/또는 비아의 패턴 전사를 수행하는 단계, 및 제1 열분해 층의 임의의 잔여 부분을 제거하기 위한 제1 열처리 공정을 수행하는 단계를 더 포함할 수 있다.
또 다른 실시형태에서, 기판을 다중 패터닝하는 방법이 제공된다. 방법은, 제1 패턴을 갖는 제1 패터닝된 층을 포함하는 구조물을 기판에 제공하는 단계, 및 기억 층을 제공하는 단계를 포함할 수 있다. 방법은, 제1 패터닝된 층과 기억 층 사이에 제1 열분해 층을 제공하는 단계로서, 제1 열분해 층은 제1 평탄화 층인, 단계; 및 제1 패턴을 기억 층에 전사하기 위한 제1 패턴 전사를 수행하는 단계를 더 포함한다. 방법은, 제1 열분해 층에 열에너지를 인가함으로써 제1 열분해 층을 제거하는 단계를 더 포함한다. 제1 열분해 층을 제거한 후에, 방법은 제2 열분해 층을 제공하는 단계를 포함하며, 제2 열분해 층은 제2 평탄화 층이다. 방법은, 제2 패터닝된 층과 기억 층 사이에 제2 열분해 층이 있도록, 제2 패터닝된 층을 제공하는 단계를 더 포함하며, 제2 패터닝된 층은 제2 패턴을 갖는다. 방법은, 제2 패턴을 기억 층에 전사하기 위한 제2 패턴 전사를 수행하는 단계, 및 제2 열분해 층에 열에너지를 인가함으로써 제2 열분해 층을 제거하는 단계를 더 포함한다.
본 발명 및 이의 이점의 더 완전한 이해는 첨부된 도면과 함께 고려되는 이하의 설명을 참조함으로써 달성될 수 있으며, 첨부된 도면에서 유사한 참조 번호는 유사한 특징부를 나타낸다. 그러나, 첨부된 도면은 개시된 개념의 예시적인 실시형태만을 도시하므로 범위를 제한하는 것으로 간주되어서는 안되며, 개시된 개념에 대해 다른 동일하게 효과적인 실시형태가 허용될 수 있음을 유의해야 한다.
도 1a 내지 도 1c는 열분해 층을 사용하는 공정 흐름을 도시한다.
도 2b 내지 도 2c는 도 1a 내지 도 1c의 공정 흐름에 제2 패터닝 공정의 사용을 추가하는 공정 흐름을 도시한다.
도 3a 내지 도 3c는 도 2a 내지 도 2c의 공정 흐름에 제3 패터닝 공정의 사용을 추가하는 공정 흐름을 도시한다.
도 4는 패터닝 공정의 일부로서 열분해 층을 사용하기 위한 예시적인 공정 흐름을 도시한다.
도 5는 패터닝 공정의 일부로서 열분해 층을 사용하기 위한 다른 예시적인 공정 흐름을 도시한다.
도 6은 패터닝 공정의 일부로서 열분해 층을 사용하기 위한 또 다른 예시적인 공정 흐름을 도시한다.
패터닝된 층, 중간 층, 및 패터닝된 층의 패턴에 따라 에칭될 제1 층이 형성되는 공정이 제공된다. 중간 층은 열 기반 제거 공정에 의해 제거될 수 있는 열분해 층일 수 있다. 제1 층을 에칭한 후에, 열 기반 제거 공정을 사용함으로써, 제1 층을 변화시키지 않으면서, 중간 층이 기판으로부터 제거될 수 있다. 일 실시형태에서, 제1 층은 기억 층일 수 있으며, 공정은 다중 패터닝 공정일 수 있다.
일 실시형태에서, 공정은 다중 패터닝 공정의 일부로서 사용될 수 있다. 그러나, 에칭된 층의 손상 없이 중간 층을 제거하는 이점은 광범위한 기판 공정 단계에서 바람직하게 사용될 수 있으며, 본원에 설명된 기술은 다중 패터닝 공정의 사용으로 제한되지 않음을 인식할 것이다. 본원에 설명된 기술의 사용에 대한 예시적인 일 실시형태에서, 에칭된 층은 기억 층일 수 있지만, 기술은 기억 층의 사용으로 제한되지 않는다. 예시적인 일 실시형태에서, 중간 층은, 포토레지스트 층으로 기판을 코팅하기 전에 기판을 평탄화하기 위해 사용되는 평탄화 층일 수 있다. 다른 예시적인 실시형태에서, 패턴 전사 공정은 다중 패터닝 공정일 수 있으며, 중간 층은 평탄화 층일 수 있고, 에칭된 층은 기억 층일 수 있다.
중간 층을 제거하기 위한 열 기반 제거 공정은 특정 열 기반 제거 메커니즘으로 제한되지 않는다. 예를 들어, 일 실시형태에서, 중간 층을 둘러싸는 주변에 열에너지를 제공함으로써, 에너지 열에너지가 중간 층에 제공될 수 있다. 다른 실시형태에서, 중간 층에 열에너지를 제공하기 위해 전자기 에너지가 사용될 수 있으며, 중간 층을 가열하기 위해, 예를 들어 일 실시형태에서 레이저가 사용될 수 있거나, 다른 실시형태에서 마이크로파 에너지가 사용될 수 있다. 열 기반 제거 메커니즘이 달성되도록 중간 층을 가열하기 위해 다른 방법이 사용될 수 있음을 인식할 것이다.
일 실시형태에서, 중간 층은 열분해 재료이다. 본원에 사용된 바와 같은 그러한 재료는 적어도 부분적으로, 재료에 열에너지를 인가함으로써 분해될 수 있으므로, 열에너지의 인가를 통해 재료가 기판으로부터 제거될 수 있다. 열에너지는 다양한 방식으로 열분해 재료에 인가될 수 있다. 예를 들어, 분해 재료 둘레의 주변을 가열하는 것은 열에너지를 인가하는 한 가지 방식이다. 다른 실시예에서, 열분해 재료에서 열에너지를 생성하기 위해, 레이저, 마이크로파 또는 다른 전자기 에너지가 사용될 수 있다.
본원에 제공된 도면은 하부에 있는 에칭된 층을 손상시키지 않으면서, 중간 층을 제거하기 위한 기술의 사용을 도시한다. 도면에 도시된 바와 같이, 이러한 공정의 예시적인 사용은 다중 패터닝 공정이다. 그러나, 위에서 언급된 바와 같이, 본원에 설명된 기술은 다중 패터닝 공정으로 제한되지 않는다. 본원에 설명된 열분해 기술을 사용하는 공정 통합 흐름의 일 실시형태가 도 1a 내지 도 3c에 도시된다. 도 1a에 도시된 바와 같이, 구조물(100)이 제공된다. 구조물(100)은 기판(105)을 포함한다. 기판(105)은 패터닝된 형상부의 사용이 바람직한 임의의 기판일 수 있다. 예를 들어, 일 실시형태에서, 기판(105)은 하나 이상의 반도체 공정 층이 그 위에 형성된 반도체 기판일 수 있다. 일 실시형태에서, 기판(105)은, 기판 공정 기술에 모두 알려져 있는 다양한 구조물 및 층을 산출하는 다수의 반도체 공정 단계를 거친 기판일 수 있다. 예시적인 일 실시형태에서, 구조물(100)은, 반도체 웨이퍼를 처리하기 위한 라인 후단(BEOL) 공정 단계 또는 라인 전단(FEOL) 공정 단계에 사용되는 삼중 패터닝 기술의 일부로서 사용될 수 있다.
또한, 다중 패터닝 공정의 일부로서 에칭될 층이 제공된다. 본 실시예에서, 에칭될 층은 기억 층(110)이다. 또한, 기억 층(110) 위에 포토레지스트 층(125)이 형성되어 패터닝될 수 있다. 도 1a에 도시된 바와 같이, 포토레지스트 층(125)은 라인, 공간 및/또는 비아의 패턴을 갖도록 형성될 수 있다. 포토레지스트 층(125)과 기억 층(110) 사이에, 하나 이상의 중간 층이 제공될 수 있다. 도시된 예시적인 공정에서, 2개의 중간 층이 사용될 수 있다. 중간 층은 예를 들어, 반사방지 코팅층, 하드 마스크 층, 평탄화 층 등과 같은, 다양한 층 중 어느 하나일 수 있음을 인식할 것이다. 도 1a의 실시예에 도시된 바와 같이, 중간 층 중 하나는 평탄화 층이다. 종래기술에서, 평탄화 층은, 유기 평탄화 층을 제거하기 위한 반응성 이온 에칭을 사용해야 할 수 있는 유기 평탄화 층일 수 있다. 대조적으로, 도 1a의 실시형태에서, 중간 층은 본 실시형태에서 평탄화 층을 형성하는 열분해 층(115)을 포함한다. 그 다음, 도 1a에 도시된 바와 같이, 추가적인 층(120)이 또한 제공된다. 추가적인 층(120)은 하드 마스크 층, 반사방지 코팅층, 또는 패터닝 공정에 사용되는 임의의 다른 층 중 하나 이상일 수 있다. 도시되지는 않지만, 열분해 층(115)과 기억 층(110) 사이에 추가적인 층이 사용될 수도 있다. 예를 들어, 열분해 층(115)과 기억 층(110) 사이에 바닥 반사방지 층 또는 다른 층이 사용될 수 있다. 따라서, 도 1a에 도시된 층들의 특정 적층물은 단지 예시적인 것일 뿐이며, 본원에 설명된 바와 같은 열분해 재료를 사용하는 이점을 여전히 달성하면서, 층들의 많은 다른 변형예가 사용될 수 있음을 인식할 것이다.
패터닝 및 에칭 공정 동안, 다수의 패턴으로 에칭되길 원하는 층(기억 층(110))에 포토레지스트 층(125)의 패턴을 전사하는 것이 바람직하다. 도 1b는 포토레지스트 층(125)의 패턴을 기억 층(110)에 전사하는 것을 도시한다. 이러한 패턴 전사는 플라즈마 에칭 공정을 통해 달성될 수 있지만, 다른 기술이 사용될 수 있다. 도면에 도시된 바와 같이, 포토레지스트 층(125) 및 추가적인 층(120)은 제거되었다. 그러한 제거는 당업계에 알려진 표준 리소그래피-에칭-스트립 공정 기술의 결과일 수 있다. 도시된 바와 같이, 열분해 층(115)은 기판(105) 상에 남는다. 그 다음, 도 1c에 도시된 바와 같이, 열분해 층(115)은 열에너지의 인가에 의해 제거될 수 있다. 위에서 언급된 바와 같이, 그러한 열에너지의 인가는 이에 제한됨이 없이, 레이저, 마이크로파 등을 사용하여 열분해 층 둘레의 주변을 가열하는 단계를 포함하는, 다양한 방식에 의해 달성될 수 있다. 열 제거 공정의 특성으로 인해, 열분해 층(115)의 제거는 기억 층(110)의 손상을 초래하지 않으면서 달성될 수 있다. 예를 들어, 반응성 이온 에칭과 같은 에칭에 의해 중간 평탄화 층이 제거된 경우 발생할 수 있는 기억 층(110)의 손상과 비교하여, 기억 층(110)의 외형 변화가 최소화될 수 있다.
예시적인 일 실시형태에서, 열분해 층(115)은 섭씨 400도(℃) 미만의 가열 공정을 층에 수행함으로써 제거될 수 있다. 다른 실시형태에서, 가열 공정은 100℃ 내지 350℃의 범위일 수 있으며, 또 다른 실시형태에서, 200℃ 내지 260℃의 범위일 수 있다. 일 실시형태에서, 열분해 층 제거 공정은 5분 동안 열을 인가함으로써 수행될 수 있다. 그러나, 다른 온도 및 시간이 사용될 수 있음을 인식할 것이다.
전술한 공정은 기판 공정 흐름에 사용되는 다양한 공정 단계에서 단독으로 사용될 수 있다. 예시적인 일 실시형태에서, 전술한 공정은 다중 패터닝 공정의 일부일 수 있다(그러나, 언급된 바와 같이, 본원에 설명된 기술은 다중 패터닝 공정으로 제한되지 않는다). 다중 패터닝 공정 내에서의 사용은, 전술한 도 1a 내지 도 1c의 단계 후에 사용될 수 있는 공정 단계인 도 2a 내지 도 2c 및 도 3a 내지 도 3c와 관련하여 아래에 제시된다. 보다 구체적으로, 도 2a 내지 도 2c는 제2 패터닝 단계의 사용을 도시하며, 도 3a 내지 도 3c는 제3 패터닝 단계의 사용을 도시한다. 도 1에 대해 전술한 공정이 반복됨으로써, 도 2a 내지 도 2c 및 도 3a 내지 도 3c에 도시된 바와 같이, 제2 및 제3 패턴이 기억 층에 형성된다. 보다 구체적으로, 도 2a에 도시된 바와 같이, 구조물(200)은, 도 1c로부터 기인하는 기억 층(110) 위에 제공되는, 제2 포토레지스트 층(225), 제2 추가적인 층(220), 및 제2 열분해 층(215)을 포함할 수 있다. 그 다음, 도 2b에 도시된 바와 같이, 제2 열분해 층(215) 및 기억 층(110)은 제2 포토레지스트 층(225)의 패턴에 따라 에칭될 수 있다. 그 다음, 도 2c에 도시된 바와 같이, 제2 열분해 층(215)은 전술한 바와 같은 열 공정에 의해 제거될 수 있다. 따라서, 도 2c에 도시된 바와 같이, 포토레지스트 층(125) 및 제2 포토레지스트 층(225)의 패턴들이 기억 층(110)에 전사되며, 제2 열분해 층은 제2 열처리 공정에 의해 제거된다.
도 2a 내지 도 2c의 공정은 도 3a 내지 도 3c에 도시된 바와 같이, 다른 시간에 반복될 수 있다. 보다 구체적으로, 도 3a에 도시된 바와 같이, 구조물(300)은, 도 2c로부터 기인하는 기억 층(110) 위에 제공되는, 제3 포토레지스트 층(325), 제3 추가적인 층(320), 및 제3 열분해 층(315)을 포함할 수 있다. 도시된 실시예에서, 제3 열분해 층(315)은 제3 평탄화 층으로서 작용한다. 그 다음, 도 3b에 도시된 바와 같이, 제3 열분해 층(315) 및 기억 층(110)은 제3 포토레지스트 층(325)의 패턴에 따라 에칭될 수 있다. 그 다음, 도 3c에 도시된 바와 같이, 제3 열분해 층(315)은 전술한 바와 같은 열 공정에 의해 제거될 수 있다. 따라서, 도 3c에 도시된 바와 같이, 포토레지스트 층(125), 제2 포토레지스트 층(225), 및 제3 포토레지스트 층(325)의 패턴들이 기억 층(110)에 전사된다. 이러한 방식으로, 기억 층(110)의 반복적 에칭은 기억 층에 최종 패턴을 제공한다. 도시된 실시예에서, 제3 패터닝된 층(제3 포토레지스트 층(325))의 사용을 통해, 제3 패턴이 기억 층에 추가된다.
도 2a 내지 도 2c 및 도 3a 내지 도 3c는, 포토레지스트 층과 기억 층 사이에서 평탄화 층으로서 작용하는 추가적인 열분해 층의 사용을 도시한다. 도시된 바와 같이, 두 개의 추가적인 층이 평탄화 층으로서 작용하지만, 열분해 층은 평탄화 층으로 제한되지 않음을 인식할 것이다. 도 1a 내지 도 1c에서와 같이, 열분해 층은 기억 층의 손상을 최소화하는 방식으로 열에너지의 인가를 통해 제거될 수 있다. 이러한 방식으로, 3개의 평탄화 층을 제거해야 하는 삼중 패터닝 공정은, 그러한 3개의 평탄화 층의 제거에 의해 기억 층을 손상시키지 않으면서 달성될 수 있다. 또한, 도 1a 내지 도 1c에 도시된 바와 같이, 제1 열분해 층은 열을 가함으로써 해중합(depolymerize)된다. 또한, 도 1a 내지 도 2c를 포함하는 실시형태에서, 제1 열분해 층 및 제2 열분해 층은 모두, (도 3a 내지 도 3c에서와 같은) 각각의 후속적인 열분해 층에 수행되는 바와 같은 열처리에 의해 해중합된다. 그러나, 본원에 설명된 기술의 이점은 모든 단계가 아니라, 다중 패터닝 단계 중 일부에만 사용되는 기술에서도 여전히 달성될 수 있음을 인식할 것이다.
일 실시형태에서, 열분해 층은, 해중합성을 가지며, 400℃ 미만의 열처리에 의해, 그리고 다른 실시형태에서 300℃ 미만의 열처리에 의해 제거될 수 있는 특성을 갖는, 우레아 결합 수지(urea binding resin), 특히 폴리우레아와 같은 재료를 포함할 수 있다. 따라서, 열에너지의 인가를 통해, 층이 해중합된다. 표준 유기 평탄화 층이 아닌 이러한 열분해 재료를 사용함으로써, 유기 평탄화 제거 시의 에칭 공정에 기억 층이 노출되는 것이 없어진다. 열처리에 의해 열분해 층이 제거될 수 있기 때문에, 제거 공정이 기억 층에 영향을 주는 것을 없앨 수 있다. 따라서, 다수의 리소그래피 및 에칭 공정 후에도, 기억 층의 형상이 유지될 수 있다. 따라서, 평탄화 층을 열분해 재료로 제조함으로써, 평탄화 층을 제거하기 위한 반응성 이온 에칭 공정의 사용이 불필요해지고, 기억 층의 형상 변화가 억제될 수 있다. 따라서, 패터닝이 여러 번 수행되더라도, 기억 층의 형상 저하를 억제하는 것이 가능하다.
기억 층이 손상되지 않도록 열 제거 공정을 사용하는 이점을 여전히 달성하면서, 다양한 재료가 사용될 수 있기 때문에, 본원에 설명된 기술은 특정 열분해 재료로 제한되지 않는다. 그러나, 전술한 바와 같이, 일 실시형태에서, 우레아 결합 수지가 사용될 수 있다. 이러한 우레아 결합 수지의 구체적인 일 실시형태는 박막 증착을 통해 형성될 수 있는 폴리우레아이다. 폴리우레아를 형성하고, 폴리우레아를 열분해하기 위한 해중합 공정에 의해 그러한 폴리우레아를 제거하기 위한 예시적인 기술은, Yatsuda 등의 "반도체 소자를 제조하는 방법, 진공 공정 장치 및 기판 공정 장치”라는 명칭으로 2017년 7월 19일자로 출원된 미국 특허 출원 제15/654,307호에 더 상세히 설명되어 있으며, 이의 개시물은 그 전체 내용이 본원에 참조로 명시적으로 포함된다. 미국 특허 출원 제15/654,307호에 설명된 기술은 이에 제한됨이 없이, 우레아 결합을 형성하기 위한 원료 모노머로서 아민 및 이소시아네이트를 공중합하는 단계를 포함하며, 설명된 바와 같이, 예시적인 기상 증착 중합 공정이 사용될 수 있다. 미국 특허 출원 제15/654,307호에 설명된 바와 같이, 폴리우레아를 형성하기 위해 액체 공정이 사용될 수도 있다. 또한, 설명된 바와 같이, 폴리우레아는 열처리의 적용에 의해 후속적으로 아민으로 해중합되어 기화될 수 있다. 그러나, 본원에 설명된 바와 같은 열분해 층의 사용 및 그러한 층의 열 제거의 이점을 여전히 달성하면서, 다른 형성 공정 및 다른 제거 공정이 사용될 수 있음을 인식할 것이다. 또한, 본원에 설명된 기술은 폴리우레아 및 다른 재료로 제한되지 않거나/제한되지 않고, 폴리우레아 및 다른 재료의 조합물 또는 변형물이 사용될 수 있음을 인식할 것이다.
전술한 공정 흐름은 단지 예시적인 것일 뿐이며, 다수의 다른 공정 및 적용예가 본원에 개시된 기술을 유리하게 사용할 수 있음을 인식할 것이다. 도 4 내지 도 6은 본원에 설명된 공정 기술을 사용하기 위한 예시적인 방법을 도시한다. 도 4 내지 도 6의 실시형태는 단지 예시적인 것일 뿐이며, 추가적인 방법이 본원에 설명된 기술을 사용할 수 있음을 인식할 것이다. 또한, 설명된 단계는 배타적인 것으로 의도되지 않기 때문에, 추가적인 공정 단계가 도 4 내지 도 6에 도시된 방법에 추가될 수 있다. 더욱이, 상이한 순서로 수행될 수 있거나/수행될 수 있고 다양한 단계가 조합하여 또는 동시에 수행될 수 있기 때문에, 단계의 순서는 도면에 도시된 순서로 제한되지 않는다.
도 4에서, 기판을 에칭하는 방법이 도시된다. 방법은, 기판 상에 제1 층을 제공하는 단계(405), 및 기판 상에 제1 패턴을 갖는 제1 패터닝된 층을 제공하는 단계(410)를 포함한다. 방법은, 제1 패터닝된 층과 제1 층 사이에 하나 이상의 중간 층을 제공하는 단계(415)를 더 포함하며, 적어도 하나의 중간 층은 제1 열분해 층이다. 방법은, 제1 층에 제1 패턴을 형성하기 위해 제1 층을 에칭하는 단계(420)를 더 포함한다. 방법은, 제1 열분해 층에 열에너지를 인가함으로써 제1 열분해 층을 제거하는 단계(425)를 더 포함한다.
도 5에서, 기판을 패터닝하는 방법이 도시된다. 방법은, 포토레지스트 패터닝된 층, 제1 열분해 층, 및 기억 층을 포함하는 구조물을 기판에 제공하는 단계(505)를 포함하며, 포토레지스트 패터닝된 층의 패턴은 라인, 공간 및/또는 비아를 포함한다. 방법은, 열분해 층 상에 패턴의 라인을 남기면서, 기억 층으로의 패턴의 공간 및/또는 비아의 패턴 전사를 수행하는 단계(510)를 더 포함한다. 방법은, 제1 열분해 층의 임의의 잔여 부분을 제거하기 위한 제1 열처리 공정을 수행하는 단계(515)를 더 포함한다.
도 6에서, 기판을 다중 패터닝하는 방법이 도시된다. 방법은, 제1 패턴을 갖는 제1 패터닝된 층을 포함하는 구조물을 기판에 제공하는 단계(605)를 포함할 수 있다. 방법은, 기억 층을 제공하는 단계(610)를 더 포함한다. 방법은, 제1 패터닝된 층과 기억 층 사이에 제1 열분해 층을 제공하는 단계(615)를 포함하며, 제1 열분해 층은 제1 평탄화 층이다. 방법은, 제1 패턴을 기억 층에 전사하기 위한 제1 패턴 전사를 수행하는 단계(620), 및 제1 열분해 층에 열에너지를 인가함으로써 제1 열분해 층을 제거하는 단계(625)를 포함한다. 제1 열분해 층을 제거한 후에, 방법은 제2 열분해 층을 제공하는 단계(630)를 포함하며, 제2 열분해 층은 제2 평탄화 층이다. 방법은, 제2 패터닝된 층과 기억 층 사이에 제2 열분해 층이 있도록, 제2 패터닝된 층을 제공하는 단계(635)를 더 포함하며, 제2 패터닝된 층은 제2 패턴을 갖는다. 방법은, 제2 패턴을 기억 층에 전사하기 위한 제2 패턴 전사를 수행하는 단계(640), 및 제2 열분해 층에 열에너지를 인가함으로써 제2 열분해 층을 제거하는 단계(645)를 더 포함한다.
본 발명의 추가적인 변형예 및 대안적인 실시형태는 본 설명을 고려하여 당업자에게 명백해질 것이다. 따라서, 본 설명은 단지 예시적인 것으로 해석되어야 하며, 본 발명을 수행하는 방식을 당업자에게 교시하기 위한 목적이다. 본원에서 도시되고 설명된 본 발명의 형태 및 방법은 현재의 바람직한 실시형태로서 고려되는 것으로 이해되어야 한다. 본 발명의 이러한 설명의 이점을 얻은 후에 당업자에게 모두 명백해지는 바와 같이, 본원에서 도시되고 설명된 것들은 동등한 기술로 대체될 수 있으며, 본 발명의 특정한 특징은 다른 특징의 사용과 무관하게 사용될 수 있다.

Claims (20)

  1. 기판을 에칭하는 방법으로서,
    상기 기판 상에 제1 층을 제공하는 단계;
    상기 기판 상에 제1 패턴을 갖는 제1 패터닝된 층을 제공하는 단계;
    상기 제1 패터닝된 층과 상기 제1 층 사이에 하나 이상의 중간 층을 제공하는 단계로서, 적어도 하나의 중간 층은 제1 열분해 층인, 단계;
    상기 제1 층에 상기 제1 패턴을 형성하기 위해 상기 제1 층을 에칭하는 단계; 및
    열처리 단계(thermal treatment step)에서, 상기 제1 열분해 층에 열에너지를 인가함으로써 상기 제1 열분해 층을 제거하는 단계
    를 포함하며,
    상기 기판을 에칭하는 방법은 다중 패터닝 공정이고, 상기 다중 패터닝 공정은, 상기 제1 층에 다수의 패턴을 형성하기 위한 상기 제1 층의 반복 에칭을 포함하고,
    상기 제1 열분해 층은, 상기 제1 열분해 층이 상기 열처리 단계에 의해 해중합되고 제거되도록, 400℃ 이하의 온도에서 상기 열처리 단계에서의 열에너지의 인가를 통해 해중합되는 재료인, 기판을 에칭하는 방법.
  2. 제1항에 있어서,
    상기 제1 열분해 층은 260℃ 이하에서 상기 열처리 단계에서의 열처리에 의해 해중합되고 제거되는, 기판을 에칭하는 방법.
  3. 제1항에 있어서,
    상기 제1 열분해 층은 우레아 결합 수지(urea binding resin)를 포함하는, 기판을 에칭하는 방법.
  4. 제1항에 있어서,
    상기 제1 열분해 층을 제거하기 전에, 상기 제1 패터닝된 층을 제거하기 위해 에칭 스트립(etch strip)을 수행하는 단계를 더 포함하는, 기판을 에칭하는 방법.
  5. 제1항에 있어서,
    상기 방법은, 상기 제1 열분해 층을 제거한 후에,
    제2 열분해 층을 형성하는 단계, 및
    400℃ 이하의 온도에서 상기 제2 열분해 층을 해중합하고 제거하기 위해 열에너지를 인가함으로써 상기 제2 열분해 층을 제거하는 단계
    를 포함하는, 기판을 에칭하는 방법.
  6. 제5항에 있어서,
    상기 제1 층은 기억 층인, 기판을 에칭하는 방법.
  7. 기판을 패터닝하는 방법으로서,
    포토레지스트 패터닝된 층, 제1 열분해 층, 및 기억 층을 포함하는 구조물을 상기 기판에 제공하는 단계로서, 상기 포토레지스트 패터닝된 층의 패턴은 라인, 공간 및 비아, 중 적어도 하나를 포함하는, 단계;
    상기 제1 열분해 층 상에 상기 패턴의 라인을 남기면서, 상기 기억 층으로의 상기 패턴의 공간 및 비아 중 적어도 하나의 패턴 전사를 수행하는 단계; 및
    상기 제1 열분해 층의 임의의 잔여 부분을 제거하기 위한 제1 열처리 공정을 수행하는 단계
    를 포함하고,
    상기 제1 열분해 층은, 상기 제1 열분해 층을 해중합하고 제거하기 위해, 400℃ 이하의 온도에서 상기 제1 열처리 공정에서의 열에너지의 인가를 통해 해중합되는 재료인, 기판을 패터닝하는 방법.
  8. 제7항에 있어서,
    상기 제1 열분해 층은 우레아 결합 수지를 포함하는, 기판을 패터닝하는 방법.
  9. 제7항에 있어서,
    상기 제1 열분해 층은 평탄화 층인, 기판을 패터닝하는 방법.
  10. 제7항에 있어서,
    상기 제1 열처리 공정을 수행하기 전에, 상기 포토레지스트 패터닝된 층을 제거하기 위해 에칭 스트립을 수행하는 단계를 더 포함하는, 기판을 패터닝하는 방법.
  11. 제7항에 있어서,
    상기 기판을 패터닝하는 방법은 다중 패터닝 공정이며, 상기 다중 패터닝 공정은, 하나보다 많은 패턴을 상기 기억 층에 전사하는 단계를 포함하는, 기판을 패터닝하는 방법.
  12. 제11항에 있어서,
    상기 방법은, 상기 제1 열분해 층의 임의의 잔여 부분을 제거한 후에,
    제2 열분해 층을 형성하는 단계, 및
    400℃ 이하의 온도에서 상기 제2 열분해 층을 해중합하고 제거하는 제2 열처리 공정을 사용함으로써 상기 제2 열분해 층을 제거하는 단계를 포함하는, 기판을 패터닝하는 방법.
  13. 기판을 패터닝하는 방법으로서,
    포토레지스트 패터닝된 층, 제1 열분해 층, 및 기억 층을 포함하는 구조물을 상기 기판에 제공하는 단계로서, 상기 포토레지스트 패터닝된 층의 패턴은 라인, 공간 및 비아, 중 적어도 하나를 포함하는, 단계;
    상기 제1 열분해 층 상에 상기 패턴의 라인을 남기면서, 상기 기억 층으로의 상기 패턴의 공간 및 비아 중 적어도 하나의 패턴 전사를 수행하는 단계; 및
    상기 제1 열분해 층을 제거하기 위해 400℃ 이하의 온도에서 상기 제1 열분해 층을 해중합함으로써 상기 제1 열분해 층의 임의의 잔여 부분을 제거하기 위한 제1 열처리 공정을 수행하는 단계
    를 포함하고,
    상기 기판을 패터닝하는 방법은 다중 패터닝 공정이며, 상기 다중 패터닝 공정은, 하나보다 많은 패턴을 상기 기억 층에 전사하는 단계를 포함하고,
    상기 방법은, 제2 열분해 층을 형성하는 단계, 및 400℃ 이하에서 상기 제2 열분해 층을 해중합하고 제거하는 제2 열처리 공정을 사용함으로써 상기 제2 열분해 층을 제거하는 단계를 포함하고,
    상기 제1 열분해 층 및 상기 제2 열분해 층은 모두 평탄화 층인, 기판을 패터닝하는 방법.
  14. 기판을 다중 패터닝하는 방법으로서,
    제1 패턴을 갖는 제1 패터닝된 층을 포함하는 구조물을 상기 기판에 제공하는 단계;
    기억 층을 제공하는 단계;
    상기 제1 패터닝된 층과 상기 기억 층 사이에 제1 열분해 층을 제공하는 단계로서, 상기 제1 열분해 층은 제1 평탄화 층인, 단계;
    상기 제1 패턴을 상기 기억 층에 전사하기 위한 제1 패턴 전사를 수행하는 단계;
    400℃ 이하의 온도에서 상기 제1 열분해 층을 해중합하고 제거하기 위해 상기 제1 열분해 층에 열에너지를 인가함으로써 상기 제1 열분해 층을 제거하는 단계;
    상기 제1 열분해 층을 제거한 후에, 제2 열분해 층을 제공하는 단계로서, 상기 제2 열분해 층은 제2 평탄화 층인, 단계;
    제2 패터닝된 층과 상기 기억 층 사이에 상기 제2 열분해 층이 있도록, 상기 제2 패터닝된 층을 제공하는 단계로서, 상기 제2 패터닝된 층은 제2 패턴을 갖는, 단계;
    상기 제2 패턴을 상기 기억 층에 전사하기 위한 제2 패턴 전사를 수행하는 단계; 및
    400℃ 이하의 온도에서 상기 제2 열분해 층을 해중합하고 제거하기 위해 상기 제2 열분해 층에 열에너지를 인가함으로써 상기 제2 열분해 층을 제거하는 단계
    를 포함하는, 기판을 다중 패터닝하는 방법.
  15. 제14항에 있어서,
    상기 제1 열분해 층을 제거하기 전에, 상기 제1 패터닝된 층을 제거하기 위해 에칭 스트립을 수행하는 단계를 더 포함하는, 기판을 다중 패터닝하는 방법.
  16. 제14항에 있어서,
    상기 제1 열분해 층 및 상기 제2 열분해 층은 모두 300℃ 이하의 열처리에 의해 해중합되고 제거되는, 기판을 다중 패터닝하는 방법.
  17. 제16항에 있어서,
    상기 제1 열분해 층 및 상기 제2 열분해 층은 모두 우레아 결합 수지를 포함하는, 기판을 다중 패터닝하는 방법.
  18. 제17항에 있어서,
    상기 제2 열분해 층을 제거한 후에, 제3 열분해 층을 제공하는 단계로서, 상기 제3 열분해 층은 제3 평탄화 층인, 단계;
    제3 패터닝된 층과 상기 기억 층 사이에 상기 제3 열분해 층이 있도록, 상기 제3 패터닝된 층을 제공하는 단계로서, 상기 제3 패터닝된 층은 제3 패턴을 갖는, 단계;
    상기 제3 패턴을 상기 기억 층에 전사하기 위한 제3 패턴 전사를 수행하는 단계; 및
    400℃ 이하에서 상기 제3 열분해 층을 해중합하고 제거하기 위해 상기 제2 열분해 층에 열에너지를 인가함으로써 상기 제3 열분해 층을 제거하는 단계
    를 더 포함하는, 기판을 다중 패터닝하는 방법.
  19. 제17항에 있어서,
    상기 열에너지의 인가는, 레이저의 사용에 의해, 또는 마이크로파의 사용에 의해, 상기 열분해 층 둘레의 주변을 가열하는 단계를 포함하는, 기판을 다중 패터닝하는 방법.
  20. 삭제
KR1020207027547A 2018-03-02 2019-02-28 패턴을 층에 전사하기 위한 방법 KR102401711B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862637743P 2018-03-02 2018-03-02
US62/637,743 2018-03-02
US201862666751P 2018-05-04 2018-05-04
US62/666,751 2018-05-04
PCT/US2019/020031 WO2019169122A1 (en) 2018-03-02 2019-02-28 Method to transfer patterns to a layer

Publications (2)

Publication Number Publication Date
KR20200118212A KR20200118212A (ko) 2020-10-14
KR102401711B1 true KR102401711B1 (ko) 2022-05-26

Family

ID=67805926

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207027547A KR102401711B1 (ko) 2018-03-02 2019-02-28 패턴을 층에 전사하기 위한 방법

Country Status (6)

Country Link
US (1) US10916428B2 (ko)
JP (1) JP7164773B2 (ko)
KR (1) KR102401711B1 (ko)
CN (1) CN111727490A (ko)
TW (1) TWI783128B (ko)
WO (1) WO2019169122A1 (ko)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140327117A1 (en) 2013-05-03 2014-11-06 Applied Materials, Inc. Optically tuned hardmask for multi-patterning applications

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL7316851A (nl) * 1973-12-10 1975-06-12 Philips Nv Werkwijze voor het vervaardigen van een inrich- ting, in het bijzonder een halfgeleiderinrichting, met een geleiderpatroon op een dragerlichaam, en inrichting, vervaardigd volgens de werkwijze.
US4519872A (en) * 1984-06-11 1985-05-28 International Business Machines Corporation Use of depolymerizable polymers in the fabrication of lift-off structure for multilevel metal processes
JPH07209864A (ja) * 1994-01-20 1995-08-11 Ulvac Japan Ltd パターン形成方法およびパターン形成装置
FR2840731B3 (fr) * 2002-06-11 2004-07-30 Soitec Silicon On Insulator Procede de fabrication d'un substrat comportant une couche utile en materiau semi-conducteur monocristallin de proprietes ameliorees
JP3562478B2 (ja) * 2001-03-16 2004-09-08 日亜化学工業株式会社 窒化物半導体の成長方法及びそれを用いた素子
US6833320B2 (en) * 2002-11-04 2004-12-21 Intel Corporation Removing sacrificial material by thermal decomposition
JP4299642B2 (ja) * 2003-11-26 2009-07-22 積水化学工業株式会社 パターン形成方法
WO2007148160A2 (en) * 2006-06-20 2007-12-27 Freescale Semiconductor, Inc. Method of multi-layer lithography
US20080073321A1 (en) * 2006-09-22 2008-03-27 Tokyo Electron Limited Method of patterning an anti-reflective coating by partial etching
US7955516B2 (en) * 2006-11-02 2011-06-07 Applied Materials, Inc. Etching of nano-imprint templates using an etch reactor
NL1035771A1 (nl) 2007-08-20 2009-02-23 Asml Netherlands Bv Lithographic Method and Method for Testing a Lithographic Apparatus.
CN101459107A (zh) * 2007-12-13 2009-06-17 中芯国际集成电路制造(上海)有限公司 形成浅沟槽隔离结构及刻蚀方法
CN103137551B (zh) * 2011-12-05 2014-12-03 中芯国际集成电路制造(上海)有限公司 沟槽间形成孔洞的方法
WO2013086083A1 (en) * 2011-12-07 2013-06-13 Georgia Tech Research Corporation Packaging compatible wafer level capping of mems devices
JP5925721B2 (ja) * 2012-05-08 2016-05-25 信越化学工業株式会社 有機膜材料、これを用いた有機膜形成方法及びパターン形成方法
KR101360548B1 (ko) * 2012-06-26 2014-02-13 주식회사 포스코 태양전지의 모듈화 방법과 이에 의해 제조된 태양전지 모듈
US9349595B2 (en) * 2012-07-11 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices
US9153478B2 (en) * 2013-03-15 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
US9263297B2 (en) 2014-01-28 2016-02-16 Tokyo Electron Limited Method for self-aligned double patterning without atomic layer deposition
US9406526B2 (en) 2014-04-10 2016-08-02 Tokyo Electron Limited Method for patterning contact openings on a substrate
KR20160008382A (ko) * 2014-07-14 2016-01-22 서울대학교산학협력단 반도체 적층 구조, 이를 이용한 질화물 반도체층 분리방법 및 장치
KR102394042B1 (ko) 2016-03-11 2022-05-03 인프리아 코포레이션 사전패터닝된 리소그래피 템플레이트, 상기 템플레이트를 이용한 방사선 패터닝에 기초한 방법 및 상기 템플레이트를 형성하기 위한 방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140327117A1 (en) 2013-05-03 2014-11-06 Applied Materials, Inc. Optically tuned hardmask for multi-patterning applications

Also Published As

Publication number Publication date
TWI783128B (zh) 2022-11-11
JP2021515402A (ja) 2021-06-17
CN111727490A (zh) 2020-09-29
US10916428B2 (en) 2021-02-09
TW201947633A (zh) 2019-12-16
KR20200118212A (ko) 2020-10-14
WO2019169122A1 (en) 2019-09-06
JP7164773B2 (ja) 2022-11-02
US20200020534A1 (en) 2020-01-16

Similar Documents

Publication Publication Date Title
US9892933B2 (en) Lithography using multilayer spacer for reduced spacer footing
US8846517B2 (en) Methods of forming a pattern on a substrate
US10978300B2 (en) Methods to reduce gouging for core removal processes using thermal decomposition materials
KR102503318B1 (ko) 열분해 재료들을 사용하여 로우 k 재료들을 패터닝하는 방법
KR102401711B1 (ko) 패턴을 층에 전사하기 위한 방법
US20140162458A1 (en) Methods of Forming A Pattern On A Substrate
TWI791864B (zh) 針對多重圖案化製程使用熱分解材料之間隔物整形方法
US20190393084A1 (en) Method Utilizing Thermal Decomposition Material To Relax Queue Time Control
CN106504975B (zh) 提高关键尺寸精确性的方法
TW201901806A (zh) 半導體裝置的製作方法
KR20130108300A (ko) 스핀-온 층에 고종횡비 패터닝을 하는 방법
US10325778B2 (en) Utilizing multiple layers to increase spatial frequency
US20140273479A1 (en) Plasma pre-treatment for improved uniformity in semiconductor manufacturing
US7846851B2 (en) Method and apparatus for a two-step resist soft bake to prevent ILD outgassing during semiconductor processing
KR102392447B1 (ko) 패터닝 필름으로서 유기실리케이트를 사용하는 방법 및 시스템
KR100664799B1 (ko) 반도체 제조용 포토레지스트 제거 방법
KR100365756B1 (ko) 반도체소자의콘택홀형성방법
KR20110077483A (ko) 반도체 소자에서 소자 분리막 형성 방법
TW201635050A (zh) 去除光阻層的方法
KR20150137425A (ko) 하드마스크 층의 형성 방법, 반도체 소자의 제조방법, 및 이에 따른 반도체 소자

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right