KR20130108300A - 스핀-온 층에 고종횡비 패터닝을 하는 방법 - Google Patents

스핀-온 층에 고종횡비 패터닝을 하는 방법 Download PDF

Info

Publication number
KR20130108300A
KR20130108300A KR1020137006638A KR20137006638A KR20130108300A KR 20130108300 A KR20130108300 A KR 20130108300A KR 1020137006638 A KR1020137006638 A KR 1020137006638A KR 20137006638 A KR20137006638 A KR 20137006638A KR 20130108300 A KR20130108300 A KR 20130108300A
Authority
KR
South Korea
Prior art keywords
layer
spin
photosensitive material
feature pattern
pattern
Prior art date
Application number
KR1020137006638A
Other languages
English (en)
Other versions
KR101752948B1 (ko
Inventor
앤드류 더블유 메츠
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20130108300A publication Critical patent/KR20130108300A/ko
Application granted granted Critical
Publication of KR101752948B1 publication Critical patent/KR101752948B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30621Vapour phase etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본원에는 기판(110) 패터닝 방법이 기재되어 있다. 상기 방법은, 스핀-온 층(120)을 포함하는 필름 스택을 기판(110) 상에 마련하는 것과, 스핀-온 층(120)의 기계적 강도를 증대시키기 위해, 스핀-온 층(120)의 열 분해 온도보다 낮고 약 200 ℃를 초과하는 경화 온도로 스핀-온 층(120)을 가열하는 것을 포함한다. 상기 방법은, 스핀-온 층(120)에 패턴 붕괴 없이 피처 패턴(105)을 형성하는 것을 포함하는데, 상기 피처 패턴(105)은 35 nm(나노미터) 미만의 임계치수(155)와, 피처 패턴(105)의 높이(150) 대 상기 임계치수(155)와 관련된 종횡비로서 5:1을 초과하는 종횡비에 의해 특징지워진다.

Description

스핀-온 층에 고종횡비 패터닝을 하는 방법{METHOD FOR HIGH ASPECT RATIO PATTERNING IN SPIN-ON LAYER}
본 발명은 기판을 패터닝하기 위한 방법으로서, 특히 기계적으로 견고하고, 스핀-온 층에 고종횡비 피처를 갖게 기판을 패터닝하기 위한 방법에 관한 것이다.
마이크로 전자 디바이스의 제조에 이용되는 재료 처리 방법론에 있어서, 패턴 에칭은 다양한 집적 회로 소자에 관련된 복잡한 패턴을 형성하는 데 자주 사용된다. 패턴 에칭은, 포토레지스트와 같은 감광성 재료층에 리소그래픽 패터닝을 이용하여 패턴을 마련하는 것과, 에칭에 의해 감광성 재료층에 형성된 패턴을 기판에 전사하는 것을 포함한다.
감광성 재료의 리소그래픽 패터닝은, 감광성 재료의 박막을 갖는 기판의 상부면을 코팅하고 나서, 예컨대 포토리소그래피 시스템을 이용하여 마스크를 거쳐 방사선 소스로부터 방사선을 투영함으로써, 방사선의 패턴에 감광성 재료의 박막을 노출시키는 것을 일반적으로 수반한다. 이후, 현상 프로세스가 실행되며, 이 현상 프로세스 동안에 감광성 재료에 있어서 조사된 영역의 제거(포지티브형 포토레지스트의 경우)가 이루어지거나, 또는 조사되지 않은 영역의 제거(네거티브형 포토레지스트의 경우)가 이루어진다. 잔류 감광성 재료는, 아래에 놓인 기판 표면을, 이 표면에 에칭할 준비가 된 패턴에 노출시킨다.
통상적으로, 이러한 패턴은 하드 마스크층에 전사될 수 있으며, 이 하드 마스크층은, BEOL(back-end-of-line) 공정을 위한 금속 배선 내의 절연층, 또는 FEOL(front-end-of-line) 공정을 위한 트랜지스터 게이트 스택과 같은, 보다 크리티컬한 하위층에의 패턴 전사에 대하여 보다 큰 에칭 저항성을 제공한다. 근래에 흔히 사용되는 하나의 하드 마스크 재료는 비결정성 탄소를 포함하며, 이것은 화학 기상 증착(CVD) 또는 이것의 변형을 이용하여 증착된다. 그러나, 하드 마스크층으로서 비결정성 탄소층을 포함하는 리소그래픽 패터닝 스킴은, 높은 소유비용(CoO), 저생산성 및 파티클 오염 등의 몇몇 단점으로 인해 곤란하다.
그러므로, 마이크로 전자 디바이스 제조 프로세스 플로우의 다른 프로세스 단계에 적용되면, 스핀-온 재료는 에칭 저항성과 기계적 견고성이 줄어드는 것으로 인지되어 있지만, 최근에 와서 스핀-온 재료는 리소그래픽 패터닝 스킴에서 어느 정도의 인기를 얻고 있다. 후자의 핸디캡은 마이크로 전자 디바이스의 소형화, 고속화에 대한 증대된 요구를 수용하기 위해 피처 크기를 줄임으로써 더 가중되기만 한다. 특히, 상기한 바와 같이, 리소그래피 패터닝 스킴에 부과된 요구사항은, 패턴 붕괴와 같은 돌발 사고를 방지해보려는 수고로 더욱 도전받고 있다.
또한, 재료 처리 기술은 이들 피처의 측방 치수의 상당한 감소를 가능하게 하면서, 층 두께 또는 구조의 높이를 보다 낮은 비율로 감소시켜, 이들 피처에 대한 종횡비를 높인다. 특히, 리소그래픽층에서의 측방 치수 감소와 함께 이루어지는 종횡비의 증대는, 전술한 사고에 대해 취약성을 증대시킨다. 예컨대, 고종횡비 피처가 스핀-온 층에 패터닝되면, 습식 세정 프로세스 단계 중에 부여되는 표면 인장 응력의 불균형에 기인하여 패턴 붕괴가 초래될 수 있다.
본 발명은 기판을 패터닝하는 방법에 관한 것이며, 특히 기계적으로 견고하고, 고종횡비 피처를 갖는 기판을 패터닝하는 방법에 관한 것이다.
일 실시형태에 따라, 기판을 패터닝하는 방법을 설명한다. 상기 방법은, 스핀-온 층을 포함하는 필름 스택을 기판 상에 마련하는 것과, 스핀-온 층의 기계적 강도를 증대시키기 위해, 스핀-온 층의 열 분해 온도보다 낮고 약 200 ℃를 초과하는 경화 온도로 스핀-온 층을 가열하는 것을 포함한다. 상기 방법은, 스핀-온 층에서의 패턴 붕괴 없이 피처 패턴을 형성하는 것을 포함하는데, 상기 피처 패턴은 35 nm(나노미터) 미만의 임계치수와, 피처 패턴의 높이 대 상기 임계치수와 관련된 종횡비로서 5:1을 초과하는 종횡비에 의해 특징지워진다.
첨부 도면에서,
도 1은 일 실시형태에 따라 스핀-온 층에 형성된 피처 패턴을 도시한다.
도 2는 다른 실시형태에 따라 기판을 패터닝하는 방법을 도시하는 플로우 차트이다.
도 3은 다른 실시형태에 따라 기판을 패터닝하는 리소그래픽 프로세스를 도시하는 플로우차트이다.
도 4는 다른 실시형태에 따라 기판을 패터닝하는 리소그래픽 프로세스를 도시하는 플로우차트이다.
도 5는 다른 실시형태에 따라 기판을 패터닝하는 리소그래픽 프로세스를 도시하는 플로우차트이다.
도 6은 다른 실시형태에 따라 기판을 패터닝하는 리소그래픽 프로세스를 도시하는 플로우차트이다.
도 7은 또 다른 실시형태에 따라 기판을 패터닝하는 리소그래픽 프로세스를 도시하는 플로우차트이다.
이하의 설명에서, 한정이 아닌 설명을 목적으로, 본원에 사용되는 다양한 리소그래픽 프로세스와 같은 구체적인 상세한 사항을 기술한다. 그러나, 본 발명은 이들 구체적인 상세한 사항으로부터 벗어난 다른 실시형태로 실시될 수도 있음은 물론이다.
마찬가지로, 본 발명을 완전하게 이해시키기 위해, 구체적인 수, 재료 및 구성이 설명을 목적으로 기재되어 있다. 그렇지만, 본 발명은 구체적인 세부 사항 없이 실시될 수 있다. 또한, 도면에 도시된 여러 실시형태는 예시적인 대표예이며 일정한 비례로 도시될 필요가 없는 것으로 이해된다.
본원에 사용되고 있는 바와 같이 "기판"은 일반적으로 본 발명에 따라 처리되는 대상물을 지칭한다. 기판은 디바이스, 특히 반도체 또는 그 밖의 전자 디바이스의 임의의 재료 부분 또는 구조를 포함할 수 있고, 예컨대 반도체 웨이퍼 등의 베이스 기판 구조, 또는 베이스 기판 구조에 혹은 그 위에 놓이는 박막 등의 층일 수도 있다. 따라서, 기판은 임의의 특정 베이스 구조, 하위층 혹은 상위층, 패터닝된 혹은 패터닝되지 않은 구조에 국한되는 의미를 갖는 것이 아니라, 임의의 상기 층 혹은 베이스 구조와, 층 및/또는 베이스 구조의 임의의 조합을 포함하는 것으로 고려된다. 이하의 설명은 특정 타입의 기판을 참조로 하지만, 이는 단지 예시를 하기 위함이며 한정의 의도는 없다.
재료 처리 방법론에 있어서, 패턴 에칭은, 포토레지스트와 같은 감광성 재료로 이루어지는 얇은 층을 기판의 상부면에 도포하는 것을 포함할 수 있으며, 이후에 리소그래픽 프로세스를 이용하여 재료의 상기 얇은 층을 패터닝한다. 패턴 에칭 중에 건식 플라즈마 에칭 프로세스가 이용될 수 있는데, 이 건식 플라즈마 에칭 프로세스에서는, 전자를 가열하고 이후에 프로세스 가스의 원자 및/또는 분자 성분의 이온화 및 해리를 일으키기 위해, 무선 주파수(RF) 파워와 같은 전자기(EM) 에너지를 프로세스 가스에 결합함으로써 플라즈마가 프로세스 가스로부터 형성된다. 일련의 건식 에칭 프로세스를 이용하여, 감광성 재료의 얇은 층에 형성된 패턴은, 최종 제품, 예컨대 전자 디바이스에서 요망되는 하나 이상의 재료층을 포함하는 필름 스택 내의 하위층에 전사된다.
리소그래픽 프로세스 중에, 중간층은 감광성 재료층을 보완하기 위해 도입된다. 이들 중간층은 리소그래픽 프로세스에 대하여 반사 방지 특성을 제공하고, 이후의 에칭 프로세스에 대하여 하드 마스크층으로서의 에칭 저항성을 제공하며, 기판의 평탄화 등을 제공할 수 있다. 예컨대, 도 1에 도시하는 바와 같이, 고종횡비 패턴(100)이, 리소그래픽 및 에칭 프로세스를 통하여 기판(110) 상에 일련의 층으로 마련된다. 상기 일련의 층은, 스핀-온 평탄화층과 같은 스핀-온 층(120), 반사 방지 코팅(ARC)층(130), 감광성 재료층(140)을 포함한다.
리소그래픽 프로세스를 이용하여 감광성 재료층(140)을 패터닝한 후, 피처 패턴(105)을 형성하기 위해 일련의 에칭 프로세스를 이용하여, 패턴을 아래에 놓인 ARC층(130) 및 스핀-온 층(120)에 전사한다. 상기 피처 패턴(105)은, 높이(150)와 임계치수(CD)(155)에 의해 특징지워지는 하나 이상의 고종횡비 피처 요소를 포함할 수 있다. 차세대 디바이스 구조에 있어서, 피처 패턴(105)의 높이(150) 대 임계치수(155)와 관련된 종횡비는 커질 수 있고, 예컨대 5:1, 10:1, 또는 20:1을 초과할 수 있다.
상기한 바와 같이, 스핀-온 층은 상기한 기능의 일부 또는 전부의 역할을 하여, 감광성 재료층을 보완하도록 현재 이용되고 있다. 스핀-온 층을 선택하는 이유는, 부분적으로는 비교적 낮은 도포 비용 때문일 수 있다. 그러나, 패턴 피처 임계치수가 감소하고 패턴 피처 종횡비가 증대됨에 따라, 이후의 프로세스에서 패턴 결함/변형 및 더 나아가 패턴 붕괴와 같은 돌발 사고를 비롯한 기계적 고장이 스핀-온 층에 일어난다. 예컨대, 도 1의 스핀-온 층(120)과 같은 스핀-온 층은, 습식 세정 사이클 중에, 불균일한 스트레스를 받으면 붕괴되거나 또는 넘어질 수 있다.
그러므로, 기판을 패터닝하는 방법, 특히 기계적으로 견고하고 고종횡비의 피처를 갖는 기판을 패터닝하는 방법을 이하에 설명한다. 상기 방법은 고품질의 피처 패턴의 마련을 개선할 수 있고, 결함성을 감소시킬 수 있다.
일 실시형태에 따라, 기판 상에 구조를 패터닝하는 방법이 도 2의 플로우차트(200)에 개략적으로 도시되어 있다. 상기 방법은, 스핀-온 층을 포함하는 필름 스택을 기판 상에 마련하는 단계 210으로 시작된다. 상기 필름 스택은 스핀-온 층을 덮는 반사 방지 코팅(ARC)층을 더 포함할 수 있다.
스핀-온 층은 필름 스택에서 하드 마스크층, 또는 평탄화층, 혹은 하드 마스크층 및 평탄화층 양자 모두의 역할을 할 수 있다. 하드 마스크층으로서, 스핀-온 층은 에칭 프로세스에서의 이후의 패턴 전사 중에 추가적인 에칭 저항성을 제공할 수 있다. 평탄화층으로서, 스핀-온 층은 복잡한 하위 표면의 지형을 덮는 평탄한 상면을 제공할 수 있다.
스핀-온 층은 스핀-온 유기 폴리머를 포함할 수 있다. 스핀-온 층은 스핀-온 탄소층을 포함할 수 있다. 스핀-온 탄소층은 80 중량% 초과의 탄소 함량을 갖는 유기 폴리머를 포함할 수 있다. 별법으로, 스핀-온 탄소층은 90 중량% 초과의 탄소 함량을 갖는 유기 폴리머를 포함할 수 있다.
스핀-온 층은 JSR Micro, Inc.(미국 94089 캘리포니아주 서니베일시 노스 마틸다 에비뉴 1280 소재)에서 시판한 HM8500과 같은 스핀-온 재료를 포함할 수 있다. 별법으로, 스핀-온 층은 Shin-Etsu Chemical Company, Ltd(일본 100-0004 도쿄도 치요다쿠 오테마치 2-6-1 소재)에서 시판한 ODL 시리즈, 즉 ODL301 또는 ODL102와 같은 스핀-온 재료를 포함할 수 있다.
스핀-온 층은 트랙 시스템을 이용하여 형성될 수 있다. 예컨대, 트랙 시스템은 도쿄 엘렉트론 가부시키가이샤(TEL)에서 시판한 CLEAN TRACK ACT 8, ACT 12, 또는 LITHIUS 레지스트 코팅 및 현상 시스템을 포함할 수 있다. 기판 상에 스핀-온 막을 형성하기 위한 다른 시스템 및 방법은, 스핀-온 레지스트 기술 분야의 당업자에게 잘 알려져 있다. 스핀-온 층의 코팅은 상기 막을 마련하는 분야의 당업자에게 잘 알려진 임의의 또는 모든 프로세스를 포함할 수 있다. 예컨대, 코팅 프로세스 이전에 세정 프로세스가 행해질 수 있으며, 코팅 프로세스 이후에, 경화 온도로 기판을 가열하는 하나 이상의 PAB(post-application bakes)와, 이 하나 이상의 PAB에 후속하여 기판을 냉각하는 하나 이상의 냉각 사이클이 행해질 수 있다.
단계 220에서는, 스핀-온 층의 열 분해 온도보다 낮고 약 200 ℃를 초과하는 경화 온도로 스핀-온 층을 가열하여, 스핀-온 층의 기계적 강도를 증대시킨다. 별법으로, 상기 경화 온도는 210℃를 초과한다. 별법으로, 상기 경화 온도는 220℃를 초과한다. 별법으로, 상기 경화 온도는 230℃를 초과한다. 별법으로, 상기 경화 온도는 240℃를 초과한다. 별법으로, 상기 경화 온도는 250℃를 초과한다. 별법으로, 상기 경화 온도는 260℃를 초과한다. 별법으로, 상기 경화 온도는 270℃를 초과한다. 별법으로, 상기 경화 온도는 280℃를 초과한다. 별법으로, 상기 경화 온도는 290℃를 초과한다. 별법으로, 상기 경화 온도는 300℃를 초과한다. 별법으로, 상기 경화 온도는 310℃를 초과한다. 별법으로, 상기 경화 온도는 320℃를 초과한다. 별법으로, 상기 경화 온도는 330℃를 초과한다. 별법으로, 상기 경화 온도는 340℃를 초과한다. 별법으로, 상기 경화 온도는 350℃를 초과한다.
단계 230에서는, 스핀-온 층에 패턴 붕괴 없이 피처 패턴을 형성하는데, 상기 피처 패턴은 35 nm(나노미터) 미만의 임계치수와, 피처 패턴의 높이 대 상기 임계치수와 관련된 종횡비로서 5:1을 초과하는 종횡비에 의해 특징지워진다. 별법으로, 상기 피처 패턴은 30 nm 미만의 임계치수를 포함할 수 있다. 별법으로, 상기 피처 패턴은 25 nm 미만의 임계치수를 포함할 수 있다. 또 별법으로, 상기 피처 패턴은 20 nm 미만의 임계치수를 포함할 수 있다. 별법으로, 상기 종횡비는 8:1을 초과할 수 있다. 별법으로, 상기 종횡비는 10:1을 초과할 수 있다. 별법으로, 상기 종횡비는 15:1을 초과할 수 있다. 별법으로, 상기 종횡비는 20:1을 초과할 수 있다.
피처 패턴은, 싱글 패터닝 리소그래픽 프로세스, 멀티 패터닝 리소그래픽 프로세스, 포지티브형 리소그래픽 프로세스, 네거티브형 리소그래픽 프로세스, 듀얼형 리소그래픽 프로세스, 또는 더블 패터닝 리소그래픽 프로세스를 이용하여 마련될 수 있다. 예컨대, 피처 패턴은 싱글 패터닝 리소그래픽 프로세스를 이용하여 감광성 재료층에 형성될 수 있으며, 이 감광성 재료층에 마련된 피처 패턴은 일련의 하나 이상의 에칭 프로세스를 이용하여, 하위 스핀-온 층에 전사될 수 있다. 별법으로, 아래에 보다 상세히 설명하는 바와 같이, 피처 패턴은 멀티 패터닝 리소그래픽 프로세스에서 하나 이상의 감광성 재료층을 이용하여 형성될 수 있다.
이제 도 3을 참조하여, 일 실시형태에 따라 더블 패턴을 마련하기 위한 리소그래픽 프로세스를 기술한다. 리소그래픽 프로세스는, LFLE(Litho-Freeze-Litho-Etch) 더블 패터닝 기술을 포함할 수 있다. 상기 방법을 플로우차트(300)에 도시하며, 이 방법은 기판 상의 스핀-온 층을 덮는 제1 감광성 재료층을 형성하는 단계 310으로 시작된다. 제1 감광성 재료층은 포토레지스트를 포함할 수 있다. 예컨대, 제1 감광성 재료층은 248 nm(나노미터) 레지스트, 193 nm 레지스트, 157 nm 레지스트, EUV(극자외선) 레지스트, 또는 전자 민감 레지스트를 포함할 수 있다. 포토레지스트층은 스핀-온 기술을 이용하여 형성될 수 있다. 제1 감광성 재료층은 트랙 시스템을 이용하여 형성될 수 있다. 예컨대, 트랙 시스템은 도쿄 엘렉트론 가부시키가이샤(TEL)에서 시판한 CLEAN TRACK ACT 8, ACT 12, 또는 LITHIUS 레지스트 코팅 및 현상 시스템을 포함할 수 있다. 기판 상에 포토레지스트 막을 형성하기 위한 다른 시스템 및 방법은, 스핀-온 레지스트 기술 분야의 당업자에게 잘 알려져 있다. 포토레지스트층의 코팅은 상기 막을 마련하는 분야의 당업자에게 잘 알려진 임의의 또는 모든 프로세스를 포함할 수 있다. 예컨대, 코팅 프로세스 이전에 세정 프로세스가 행해질 수 있으며, 코팅 프로세스 이후에, 기판을 가열하는 하나 이상의 PAB(post-application bakes)와, 이 하나 이상의 PAB에 후속하여 기판을 냉각하는 하나 이상의 냉각 사이클이 행해질 수 있다.
단계 320에서는, 제1 감광성 재료층에 제1 이미지 패턴의 상을 형성한다. 패터닝된 EM 방사선에 대한 노출은 건식 혹은 습식 포토리소그래피 시스템에서 행해질 수 있다. 이미지 패턴은 임의의 적절한 종래의 스텝핑 리소그래픽 시스템, 또는 스캐닝 리소그래픽 시스템을 이용하여 형성될 수 있다. 예컨대, 포토리소그래피 시스템은 ASML Netherlands B.V.(네덜란드 5504 데에르 벨트호벤 드 런 6501 소재) 또는 Canon USA, Inc., Semiconductor Equipment Division(미국 캘리포니아주 95134 산 호세 3300 노스 퍼스트 스트리트 소재)에서 시판하고 있다. 별법으로, 제1 이미지 패턴은 전자 빔 리소그래피 시스템을 이용하여 형성될 수 있다.
단계 330에서는, 제1 감광성 재료층은 제1 피처 패턴을 기판 상에 형성하기 위해 현상된다. 예컨대, 상기한 바와 같이, 제1 피처 패턴은 제1 라인 패턴을 포함할 수 있다. 현상 프로세스를 행할 때, 제1 이미지 패턴은 제1 피처 패턴을 남기면서 제거된다. 현상 프로세스는 트랙 시스템과 같은 현상 시스템에서 기판을 현상액에 노출시키는 것을 포함할 수 있다. 예컨대, 트랙 시스템은 도쿄 엘렉트론 가부시키가이샤(TEL)에서 시판한 CLEAN TRACK ACT 8, ACT 12, 또는 LITHIUS 레지스트 코팅 및 현상 시스템을 포함할 수 있다. 현상 프로세스 이전에, 기판을 가열하는 하나 이상의 PEB(post-exposure bakes)와, 이 하나 이상의 제1 PEB에 후속하여 기판을 냉각하는 하나 이상의 냉각 사이클이 행해질 수 있다.
단계 340에서는, 화학적 동결층이 제1 감광성 재료층 위에 도포되고, 이 화학적 동결층은 제1 감광성 재료층의 노출면과 반응한다. 화학적 동결층은 기판 상에 재료를 스핀 코팅함으로써 형성될 수 있다. 화학적 동결층은 트랙 시스템을 이용하여 형성될 수 있다. 예를 들어, 트랙 시스템은 도쿄 엘렉트론 가부시키가이샤(TEL)에서 시판한 CLEAN TRACK ACT 8, ACT 12, 또는 LITHIUS 레지스트 코팅 및 현상 시스템을 포함할 수 있다. 기판 상에 포토레지스트 막을 형성하기 위한 다른 시스템 및 방법은, 스핀-온 레지스트 기술 분야의 당업자에게 잘 알려져 있다. 코팅 프로세스 이후에, 기판을 가열하고 화학적 동결층의 적어도 일부를 경화시키는 하나 이상의 베이킹 프로세스가 행해질 수 있다.
기판에 화학적 동결층을 도포하고 기판을 가열한 결과로서, 화학적 동결층의 일부가 제1 감광성 재료층의 노출면과 반응하여 보호층을 형성한다. 보호층은 이후의 코팅, 노출 및 현상 프로세스로부터 제1 감광성 재료층을 보호하므로, 동결된 제1 감광성 재료층을 형성하도록 제1 감광성 재료층을 "동결"시킨다.
화학적 동결층은 감광성 재료층의 교차 결합을 야기할 수 있는 임의의 제거 가능한 재료를 포함할 수 있다. 화학적 동결층은 고분자 재료를 포함할 수 있다. 예컨대, 화학적 동결층은 JSR Micro Inc.(미국 94089 캘리포니아주 서니베일 노스 마틸다 에비뉴 1280 소재)에서 시판한 F112 동결 재료와 같은 동결 재료를 포함할 수 있다. 별법으로, 예컨대 화학적 동결층은 Dow Chemical Company(미국 19106 펜실베니아주 필라델피아 인디펜던스 몰 웨스트 100 소재)의 자회사인 Rohm and Haas에서 시판한 SCTM 1000 Surface Curing Agents(SCA)와 같은 동결 재료를 포함할 수 있다.
단계 350에서는, 동결된 제1 감광성 재료층의 제1 피처 패턴을 보존하기 위해 스트립 용액을 이용하여 기판으로부터 화학적 동결층을 박리한다. 스트립 용액은 활성 용질을 함유하며, 알칼리 수용액을 포함할 수 있다. 또한, 스트립 용액은 수산화물을 함유할 수 있다. 또한, 스트립 용액은 수산화 제4 암모늄을 함유할 수 있다. 또한, 스트립 용액은 수산화 테트라메틸 암모늄(TMAH)을 포함할 수 있다.
단계 360에서는, 제2 감광성 재료층을 기판(201) 상에 형성한다. 단계 370에서는, 제2 감광성 재료층에 제2 이미지 패턴의 상을 형성한다. 그리고, 단계 380에서는, 기판 상에 제2 피처 패턴을 형성하기 위해 제2 감광성 재료층을 현상한다. 예컨대, 상기한 바와 같이, 제2 피처 패턴은 제1 라인 패턴과 섞여 짜여진 제2 라인 패턴을 포함할 수 있다. 현상 프로세스를 행할 때, 제2 이미지 패턴은 제2 피처 패턴을 남기면서 제거된다.
단계 390에서는, 제1 피처 패턴 및 제2 피처 패턴을 포함하는 피처 패턴을, 에칭 프로세스를 이용하여 스핀-온 층에 전사할 수 있다. 에칭 프로세스는 건식 에칭 프로세스, 또는 습식 에칭 프로세스를 포함할 수 있다. 에칭 프로세스는 건식 플라즈마 에칭 프로세스, 또는 건식 비플라즈마 에칭 프로세스를 포함할 수 있다. 스핀-온 층은, 반사 방지 코팅(ARC)층, 평탄화층, 유기 평탄화층, 유기 유전체층, 절연층, 도전층, 반도전층, 저유전율(low-k) 유전체층, 초저유전율(ULK; ultra-low-k) 유전체층, 고유전율(high-k) 유전체층, 규소 함유층, 또는 금속 함유층, 혹은 이들 중 2 이상의 임의의 조합을 덮을 수 있다.
이제 도 4을 참조하여, 다른 실시형태에 따라 더블 패턴을 마련하기 위한 리소그래픽 프로세스를 기술한다. 리소그래픽 프로세스는, LLE(Litho-Litho-Etch) 더블 패터닝 기술을 포함할 수 있다. 상기 방법을 플로우차트(400)에 도시하며, 기판 상에 스핀-온 층을 덮도록 감광성 재료층을 형성하는 단계 410으로 시작된다.
단계 420에서는, 감광성 재료층에 제1 이미지 패턴의 상을 형성한다. 단계 430에서는, 감광성 재료층에 제2 이미지 패턴의 상을 형성한다. 그리고, 단계 440에서는, 기판 상에 제1 피처 패턴 및 제2 피처 패턴을 형성하기 위해 감광성 재료층을 현상한다. 예컨대, 제1 피처 패턴은 제1 라인 패턴을 포함할 수 있고, 제2 피처 패턴은 제1 라인 패턴과 섞여 짜여진 제2 라인 패턴을 포함할 수 있다. 현상 프로세스를 행할 때, 제1 및 제2 이미지 패턴은 제1 및 제2 피처 패턴을 남기면서 제거된다.
단계 450에서는, 제1 피처 패턴 및 제2 피처 패턴을 포함하는 피처 패턴을, 에칭 프로세스를 이용하여 스핀-온 층에 전사할 수 있다.
이제 도 5을 참조하여, 또 다른 실시형태에 따른 더블 패턴을 마련하기 위한 리소그래픽 프로세스를 기술한다. 리소그래픽 프로세스는, LELE(Litho-Etch-Litho-Etch) 더블 패터닝 기술을 포함할 수 있다. 상기 방법을 플로우차트(500)에 도시하며, 기판 상의 스핀-온 층을 덮는 제1 감광성 재료층을 형성하는 단계 510으로 시작된다.
단계 520에서는, 제1 감광성 재료층에 제1 이미지 패턴의 상을 형성한다. 단계 530에서는, 기판 상에 제1 피처 패턴을 형성하기 위해 제1 감광성 재료층을 현상한다. 그리고 단계 540에서는, 제1 에칭 프로세스를 이용하여, 스핀-온 층, 또는 스핀-온 층과 제1 감광성 재료층 사이의 중간층에 제1 피처 패턴을 전사하여, 피처 패턴의 부분을 형성한다.
단계 550에서는, 제1 감광성 재료층을 제거한다. 제1 감광성 재료층은 습식 또는 건식 스트립핑/애싱 프로세스를 이용하여 제거될 수 있다.
단계 560에서는, 기판 상에 스핀-온 층을 덮도록 제2 감광성 재료층을 형성한다. 단계 570에서는, 제2 감광성 재료층에 제2 이미지 패턴의 상을 형성한다. 단계 580에서는, 기판 상에 제2 피처 패턴을 형성하기 위해 제2 감광성 재료층을 현상한다. 그리고 단계 590에서는, 제2 에칭 프로세스를 이용하여, 스핀-온 층, 또는 스핀-온 층과 제1 감광성 재료층 사이의 중간층에 제2 피처 패턴을 전사하여, 피처 패턴을 완성한다.
이제 도 6을 참조하여, 또 다른 실시형태에 따른 더블 패턴을 마련하기 위한 리소그래픽 프로세스를 기술한다. 리소그래픽 프로세스는, 스페이서 더블 패터닝 기술을 포함할 수 있다. 상기 방법을 플로우차트(600)에 도시하며, 기판에 스핀-온 층을 덮는 마스크층을 형성하는 단계 610에서 시작된다.
단계 620에서는, 기판 상에 마스크층을 덮는 감광성 재료층을 형성한다.
단계 630에서는, 감광성 재료층에 이미지 패턴의 상을 형성한다.
단계 640에서는, 중간 피처 패턴을 형성하기 위해 감광성 재료층을 현상한다.
단계 650에서는, 에칭 프로세스를 이용하여 중간 피처 패턴을 마스크층에 전사한다.
단계 660에서는, 스페이서층을 마스크층의 중간 피처 패턴 위에 증착한다.
단계 670에서는, 마스크층의 중간 피처 패턴에 인접한 측벽에 스페이서를 형성하기 위해 스페이서층을 부분적으로 제거한다.
단계 680에서는, 스페이서로 이루어진 스페이서 패턴을 형성하기 위해 마스크층을 제거한다.
단계 690에서는, 다른 에칭 프로세스를 이용하여 스핀-온 층에 스페이서 패턴을 전사하여, 피처 패턴을 형성한다.
이제 도 7을 참조하여, 또 다른 실시형태에 따른 더블 패턴을 마련하기 위한 리소그래픽 프로세스를 기술한다. 리소그래픽 프로세스는, 듀얼형 더블 패터닝 기술을 포함할 수 있다. 상기 방법을 플로우차트(700)에 도시하며, 기판에 스핀-온 층을 덮는 감광성 재료층을 형성하는 단계 710으로 시작된다.
단계 720에서는, 감광성 재료층에 이미지 패턴의 상을 형성한다.
단계 730에서는, 기판으로부터 제1 감광성 재료 부분을 제거하고 제1 피처 패턴을 형성하기 위해, 감광성 재료층의 포지티브형 현상을 실행한다.
단계 740에서는, 기판으로부터 제2 감광성 재료 부분을 제거하고 제2 피처 패턴을 형성하기 위해, 감광성 재료층의 네거티브형 현상을 실행한다.
단계 750에서는, 제1 피처 패턴 및 제2 피처 패턴을 에칭 프로세스를 이용하여 스핀-온 층에 전사하여, 피처 패턴을 형성한다.
일례에 따라, 스페이서 더블 패터닝 기술을 이용하여 스핀-온 층을 덮는 반사 방지 코팅(ARC)층에 고종횡비 패턴을 형성한다. 제1 예에서, 스핀-온 층은 약 350 ℃의 경화 온도로 가열되는 HM8500을 포함한다. 제2 예에서, 스핀-온 층은 약 270 ℃ 내지 약 300 ℃의 범위인 경화 온도로 가열되는 ODL301을 포함한다. 제3 예에서, 스핀-온 층은 약 200 ℃의 경화 온도로 가열되는 ODL102를 포함한다. 약 25 nm의 임계치수 및 8:1보다 큰 종횡비를 갖는 라인을 포함하는 고종횡비 피처 패턴이 3개의 스핀-온 층의 각각에 형성된다. 제1 예에서, 높은 경화 온도로 가열되는 스핀-온 층(HM8500)은, 패턴 붕괴 없이 결함성이 감소되어 마련되었다.
본 발명의 특정 실시형태만을 상세히 전술하였지만, 당업자라면 본 발명의 새로운 교시 및 이점에서 실질적으로 벗어나지 않으면서, 상기 특정 실시형태에 다양한 변형을 실시할 수 있음을 쉽게 이해할 수 있다. 예컨대, 프로세스 200, 300, 400, 500 및 600의 네거티브 레지스트 등가물이 이용될 수 있다. 따라서, 이러한 모든 변경은 본 발명의 범위 내에 포함되는 것이다.

Claims (20)

  1. 기판 패터닝 방법으로서,
    스핀-온 층을 포함하는 필름 스택을 기판 상에 마련하는 단계;
    상기 스핀-온 층의 기계적 강도를 증대시키기 위해, 상기 스핀-온 층의 열 분해 온도보다 낮고 약 200 ℃를 초과하는 경화 온도로 상기 스핀-온 층을 가열하는 단계; 및
    상기 스핀-온 층에 패턴 붕괴 없이 피처 패턴을 형성는 단계
    를 포함하고, 상기 피처 패턴은 35 nm(나노미터) 미만의 임계치수와, 상기 피처 패턴의 높이 대 상기 임계치수와 관련된 종횡비로서 5:1을 초과하는 종횡비에 의해 특징지워지는 것인 기판 패터닝 방법.
  2. 제1항에 있어서, 상기 스핀-온 층은 상기 필름 스택에서 하드 마스크층, 또는 평탄화층, 혹은 하드 마스크층 및 평탄화층 양자 모두의 역할을 하는 것인 기판 패터닝 방법.
  3. 제1항에 있어서, 상기 필름 스택은 상기 스핀-온 층에 형성된 반사 방지 코팅층을 포함하는 것인 기판 패터닝 방법.
  4. 제1항에 있어서, 상기 스핀-온 층은 스핀-온 유기 폴리머를 포함하는 것인 기판 패터닝 방법.
  5. 제1항에 있어서, 상기 스핀-온 층은 스핀-온 탄소층을 포함하는 것인 기판 패터닝 방법.
  6. 제4항에 있어서, 상기 스핀-온 탄소층은 80 중량% 초과의 탄소 함량을 갖는 유기 폴리머를 포함하는 것인 기판 패터닝 방법.
  7. 제4항에 있어서, 상기 스핀-온 탄소층은 90 중량% 초과의 탄소 함량을 갖는 유기 폴리머를 포함하는 것인 기판 패터닝 방법.
  8. 제1항에 있어서, 상기 경화 온도는 250 ℃를 초과하는 것인 기판 패터닝 방법.
  9. 제1항에 있어서, 상기 경화 온도는 270 ℃를 초과하는 것인 기판 패터닝 방법.
  10. 제1항에 있어서, 상기 경화 온도는 300 ℃를 초과하는 것인 기판 패터닝 방법.
  11. 제1항에 있어서, 상기 경화 온도는 330 ℃를 초과하는 것인 기판 패터닝 방법.
  12. 제1항에 있어서, 상기 피처 패턴을 형성하는 단계는, 싱글 패터닝 리소그래픽 프로세스, 또는 멀티 패터닝 리소그래픽 프로세스를 이용하여 상기 피처 패턴을 형성하는 것을 포함하는 것인 기판 패터닝 방법.
  13. 제12항에 있어서, 상기 멀티 패터닝 리소그래픽 프로세스는,
    제1 감광성 재료층을 상기 기판 상에 상기 스핀-온 층을 덮도록 형성하는 단계;
    상기 제1 감광성 재료층에 제1 이미지 패턴의 상을 형성하는 단계;
    제1 피처 패턴을 형성하기 위해 상기 제1 감광성 재료층을 현상하는 단계;
    화학적 동결층을 상기 제1 감광성 재료층 위에 도포하고, 상기 화학적 동결층을 상기 제1 감광성 재료층의 노출면과 반응시키는 단계;
    상기 화학적 동결층을 박리하는 단계;
    제2 감광성 재료층을 상기 기판 상에 형성하는 단계;
    상기 제2 감광성 재료층에 제2 이미지 패턴의 상을 형성하는 단계;
    제2 피처 패턴을 형성하기 위해 상기 제2 감광성 재료층을 현상하는 단계; 및
    상기 피처 패턴을 형성하기 위해 에칭 프로세스를 이용하여, 상기 스핀-온 층에 상기 제1 피처 패턴 및 상기 제2 피처 패턴을 전사하는 단계
    를 포함하는 것인 기판 패터닝 방법.
  14. 제12항에 있어서, 상기 멀티 패터닝 리소그래픽 프로세스는,
    감광성 재료층을 상기 기판 상에 상기 스핀-온 층을 덮도록 형성하는 단계;
    상기 감광성 재료층에 제1 이미지 패턴의 상을 형성하는 단계;
    상기 감광성 재료층에 제2 이미지 패턴의 상을 형성하는 단계;
    제1 피처 패턴 및 제2 피처 패턴을 형성하기 위해 상기 감광성 재료층을 현상하는 단계; 및
    상기 피처 패턴을 형성하기 위해 에칭 프로세스를 이용하여, 상기 스핀-온 층에 상기 제1 피처 패턴 및 상기 제2 피처 패턴을 전사하는 단계
    를 포함하는 것인 기판 패터닝 방법.
  15. 제12항에 있어서, 상기 멀티 패터닝 리소그래픽 프로세스는,
    제1 감광성 재료층을 상기 기판 상에 상기 스핀-온 층을 덮도록 형성하는 단계;
    상기 제1 감광성 재료층에 제1 이미지 패턴의 상을 형성하는 단계;
    제1 피처 패턴을 형성하기 위해 상기 제1 감광성 재료층을 현상하는 단계;
    상기 피처 패턴의 부분을 형성하기 위해 제1 에칭 프로세스를 이용하여, 상기 스핀-온 층, 또는 상기 스핀-온 층과 상기 제1 감광성 재료층 사이의 중간층에 상기 제1 피처 패턴을 전사하는 단계;
    상기 제1 감광성 재료층을 제거하는 단계;
    제2 감광성 재료층을 상기 기판 상에 형성하는 단계;
    상기 제2 감광성 재료층에 제2 이미지 패턴의 상을 형성하는 단계;
    제2 피처 패턴을 형성하기 위해 상기 제2 감광성 재료층을 현상하는 단계; 및
    상기 피처 패턴을 완성하기 위해 제2 에칭 프로세스를 이용하여, 상기 스핀-온 층, 또는 상기 스핀-온 층과 상기 제1 감광성 재료층 사이의 중간층에 상기 제2 피처 패턴을 전사하는 단계
    를 포함하는 것인 기판 패터닝 방법.
  16. 제12항에 있어서, 상기 멀티 패터닝 리소그래픽 프로세스는,
    마스크층을 상기 기판 상에 상기 스핀-온 층을 덮도록 형성하는 단계;
    감광성 재료층을 상기 기판 상에 상기 마스크층을 덮도록 형성하는 단계;
    상기 감광성 재료층에 이미지 패턴의 상을 형성하는 단계;
    중간 피처 패턴을 형성하기 위해 상기 감광성 재료층을 현상하는 단계;
    상기 중간 피처 패턴을 에칭 프로세스를 이용하여 상기 마스크층에 전사하는 단계;
    상기 마스크층의 상기 중간 피처 패턴 위에 스페이서층을 증착하는 단계;
    상기 마스크층의 상기 중간 피처 패턴에 인접한 측벽에 스페이서를 형성하기 위해 상기 스페이서층을 부분적으로 제거하는 단계;
    상기 스페이서로 이루어진 스페이서 패턴을 형성하기 위해 상기 마스크층을 제거하는 단계; 및
    상기 피처 패턴을 형성하기 위해 다른 에칭 프로세스를 이용하여, 상기 스핀-온 층에 상기 스페이서 패턴을 전사하는 단계
    를 포함하는 것인 기판 패터닝 방법.
  17. 제12항에 있어서, 상기 멀티 패터닝 리소그래픽 프로세스는,
    감광성 재료층을 상기 기판 상에 스핀-온 층을 덮도록 형성하는 단계;
    상기 감광성 재료층에 이미지 패턴의 상을 형성하는 단계;
    상기 기판으로부터 제1 감광성 재료 부분을 제거하고 제1 피처 패턴을 형성하기 위해, 상기 감광성 재료층의 포지티브형 현상을 실행하는 단계;
    상기 기판으로부터 제2 감광성 재료 부분을 제거하고 제2 피처 패턴을 형성하기 위해, 상기 감광성 재료층의 네거티브형 현상을 실행하는 단계; 및
    상기 피처 패턴을 형성하기 위해 에칭 프로세스를 이용하여, 상기 스핀-온 층에 상기 제1 피처 패턴 및 상기 제2 피처 패턴을 전사하는 단계
    를 포함하는 것인 기판 패터닝 방법.
  18. 제1항에 있어서, 상기 종횡비는 8:1을 초과하는 것인 기판 패터닝 방법.
  19. 제1항에 있어서, 상기 종횡비는 10:1을 초과하는 것인 기판 패터닝 방법.
  20. 제1항에 있어서, 상기 임계치수는 25 nm 이하인 것인 기판 패터닝 방법.
KR1020137006638A 2010-08-16 2011-08-12 스핀-온 층에 고종횡비 패터닝을 하는 방법 KR101752948B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/856,785 US8382997B2 (en) 2010-08-16 2010-08-16 Method for high aspect ratio patterning in a spin-on layer
US12/856,785 2010-08-16
PCT/US2011/047541 WO2012024178A2 (en) 2010-08-16 2011-08-12 Method for high aspect ratio patterning in spin-on layer

Publications (2)

Publication Number Publication Date
KR20130108300A true KR20130108300A (ko) 2013-10-02
KR101752948B1 KR101752948B1 (ko) 2017-07-03

Family

ID=44651938

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137006638A KR101752948B1 (ko) 2010-08-16 2011-08-12 스핀-온 층에 고종횡비 패터닝을 하는 방법

Country Status (4)

Country Link
US (1) US8382997B2 (ko)
KR (1) KR101752948B1 (ko)
TW (1) TWI467647B (ko)
WO (1) WO2012024178A2 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2717296B1 (en) * 2012-10-02 2016-08-31 Imec Etching of block-copolymers
KR20170050056A (ko) 2015-10-29 2017-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
JP7403961B2 (ja) * 2019-03-19 2023-12-25 キオクシア株式会社 インプリント方法および半導体装置の製造方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5652084A (en) 1994-12-22 1997-07-29 Cypress Semiconductor Corporation Method for reduced pitch lithography
JP4737953B2 (ja) * 2004-07-14 2011-08-03 株式会社東芝 半導体装置の製造方法
US20060068540A1 (en) * 2004-09-27 2006-03-30 Min Kyu S Sequential chemical vapor deposition - spin-on dielectric deposition process
US8153350B2 (en) * 2005-08-24 2012-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method and material for forming high etch resistant double exposure patterns
US7795148B2 (en) * 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US8022552B2 (en) * 2006-06-27 2011-09-20 Megica Corporation Integrated circuit and method for fabricating the same
JP5000250B2 (ja) 2006-09-29 2012-08-15 東京応化工業株式会社 パターン形成方法
WO2008070060A2 (en) 2006-12-06 2008-06-12 Fujifilm Electronic Materials U.S.A., Inc. Device manufacturing process utilizing a double pattering process
US8815748B2 (en) 2007-01-12 2014-08-26 Advanced Micro Devices, Inc. Method of forming semiconductor device with multiple level patterning
EP2245512B1 (en) * 2008-01-29 2019-09-11 Brewer Science, Inc. On-track process for patterning hardmask by multiple dark field exposures
KR20110034012A (ko) 2008-07-10 2011-04-04 스미또모 가가꾸 가부시키가이샤 레지스트 처리 방법
JP2010161162A (ja) 2009-01-07 2010-07-22 Tokyo Electron Ltd 微細パターンの形成方法
US8389206B2 (en) 2009-09-22 2013-03-05 Tokyo Electron Limited High normality solution for removing freeze material in lithographic applications

Also Published As

Publication number Publication date
TW201214552A (en) 2012-04-01
TWI467647B (zh) 2015-01-01
KR101752948B1 (ko) 2017-07-03
US8382997B2 (en) 2013-02-26
WO2012024178A3 (en) 2012-04-05
WO2012024178A2 (en) 2012-02-23
US20120037592A1 (en) 2012-02-16

Similar Documents

Publication Publication Date Title
TWI582830B (zh) 極紫外光光阻蝕刻耐久性改良及圖案崩塌減輕
US7432191B1 (en) Method of forming a dual damascene structure utilizing a developable anti-reflective coating
US20090311634A1 (en) Method of double patterning using sacrificial structure
JP6370139B2 (ja) Finfet構造のドーパント注入方法
KR20130123408A (ko) 측벽 화상 전사 피치 더블링 및 인라인 임계 치수 슬리밍
US8741552B2 (en) Double patterning strategy for contact hole and trench in photolithography
WO2006073871A1 (en) Line edge roughness reduction compatible with trimming
US20120266810A1 (en) Planarization system for high wafer topography
US7767386B2 (en) Method of patterning an organic planarization layer
US7862985B2 (en) Method for double patterning a developable anti-reflective coating
US8124323B2 (en) Method for patterning a photosensitive layer
US20080073321A1 (en) Method of patterning an anti-reflective coating by partial etching
KR101752948B1 (ko) 스핀-온 층에 고종횡비 패터닝을 하는 방법
US8409456B2 (en) Planarization method for high wafer topography
US7932017B2 (en) Method of double patterning a thin film using a developable anti-reflective coating and a developable organic planarization layer
US7883835B2 (en) Method for double patterning a thin film
US8975189B2 (en) Method of forming fine patterns
TWI515768B (zh) 微影圖案化方法及雙重圖案化方法
US7811747B2 (en) Method of patterning an anti-reflective coating by partial developing
US7858293B2 (en) Method for double imaging a developable anti-reflective coating
US10636696B1 (en) Methods for forming vias in polymer layers
US8507190B2 (en) Method for preparing alignment mark for multiple patterning
CN115763227A (zh) 形成半导体器件的方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant