TWI582830B - 極紫外光光阻蝕刻耐久性改良及圖案崩塌減輕 - Google Patents

極紫外光光阻蝕刻耐久性改良及圖案崩塌減輕 Download PDF

Info

Publication number
TWI582830B
TWI582830B TW104133957A TW104133957A TWI582830B TW I582830 B TWI582830 B TW I582830B TW 104133957 A TW104133957 A TW 104133957A TW 104133957 A TW104133957 A TW 104133957A TW I582830 B TWI582830 B TW I582830B
Authority
TW
Taiwan
Prior art keywords
patterned layer
image inversion
patterning
photoresist
substrate according
Prior art date
Application number
TW104133957A
Other languages
English (en)
Other versions
TW201626438A (zh
Inventor
里歐 修里
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201626438A publication Critical patent/TW201626438A/zh
Application granted granted Critical
Publication of TWI582830B publication Critical patent/TWI582830B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Description

極紫外光光阻蝕刻耐久性改良及圖案崩塌減輕
本發明相關於在基板上圖案化薄膜的方法。
[相互申請案之交互參考]
根據37 C.F.R.§ 1.78(a)(4),本申請案主張先前申請之共同待審的臨時申請案第62/064636號(在2014年10月6日提出申請)的權利及優先權,其整體內容係專門併入於此以供參考。
在材料處理方法中,圖案蝕刻包含將例如光阻的輻射敏感性材料層施加至基板的上表面、使用光微影在輻射敏感性材料層中形成圖案、及使用蝕刻製程將形成於輻射敏感性材料層中的圖案轉移至基板上的下方薄膜。輻射敏感性材料的圖案化一般涉及使用例如光微影系統使輻射敏感性材料暴露至電磁(EM)輻射的圖案,接著使用顯影溶液移除輻射敏感性材料的被照射區域(如於正型光阻(positive tone resist)之情形)、或未被照射區域(如於負型光阻(negative tone resist)之情形)。
近來,極紫外光(EUV,extreme ultraviolet)微影係針對使下一代裝置能夠使用成本友善製程的候選者。次22nm半節距圖案中,已變得難以控制的兩個關鍵問題是圖案崩塌、以及提供EUV光阻層的能力,該EUV光阻層具有足夠的蝕刻阻抗及厚度,以使用蝕刻製程將光阻特徵部圖案轉移至下方層。來自進 一步縮放之縱橫比的增加促進圖案崩塌的開始。縱橫比相關於特徵部圖案之高度對臨界尺寸(CD)、或對特徵部圖案之寬度的比值。
克服圖案崩塌的一解決方案係降低光阻厚度來達成較低的縱橫比。由於EUV光阻之相對低的蝕刻阻抗,為達成較低縱橫比之光阻厚度的降低限制了藉由蝕刻製程將光阻特徵部圖案完全轉移至(複數)下方層中的能力。因此需要其他的解決方案,以克服EUV微影的限制、並針對後續蝕刻步驟達成具有足夠蝕刻阻抗之不可崩塌的次22nm半節距圖案。
本發明相關於在基板上圖案化薄膜的方法。該發明更相關於改良EUV光阻的蝕刻阻抗、以及減輕EUV光阻圖案的圖案崩塌。
根據一實施例,描述的是圖案化基板的方法。圖案化方法包含在基板上承接覆蓋於待蝕刻材料層上方的第一圖案化層,其中該第一圖案化層係由具有以下者之光阻材料所組成:(i)在曝光至極紫外光(EUV)輻射微影時,提供小於約40奈米之微影解析度的材料特性、以及(ii)針對蝕刻製程條件提供標稱蝕刻阻抗的材料特性,該蝕刻製程條件係選定用來蝕刻第一圖案化層下方的材料。第一圖案化層係上方塗佈有圖像反轉材料,使得圖像反轉材料填充並覆蓋第一圖案化層,其中圖像反轉材料具有針對蝕刻製程條件提供超出標稱蝕刻阻抗之蝕刻阻抗的材料特性。圖案化方法更包含:移除圖像反轉材料的上部,使得第一圖案化層的頂部表面暴露;並且移除第一圖案化層,使得圖像反轉材料留下,導致形成第二圖案化層。
110‧‧‧基板
120‧‧‧第二材料層
130‧‧‧材料層
135‧‧‧材料層圖案
140‧‧‧第一圖案化層
142‧‧‧頂部表面
150‧‧‧第一圖案
152‧‧‧寬
154‧‧‧高
160‧‧‧圖像反轉材料
170‧‧‧第二圖案化層
180‧‧‧第二圖像反轉材料
185‧‧‧第三圖案化層
210‧‧‧基板
220‧‧‧第二材料層
230‧‧‧材料層
240‧‧‧第一圖案化層
242‧‧‧頂部表面
250‧‧‧第一圖案
252‧‧‧寬
254‧‧‧高
260‧‧‧圖像反轉材料
270‧‧‧第二圖案化層
272‧‧‧頂部表面
280‧‧‧第二圖像反轉材料
285‧‧‧第三圖案化層
300‧‧‧流程圖
312‧‧‧步驟
314‧‧‧步驟
316‧‧‧步驟
318‧‧‧步驟
400‧‧‧系統圖
404‧‧‧圖案化系統
408‧‧‧製造系統
455‧‧‧控制器
在隨附圖式中:圖1A至1G根據一實施例呈現圖案化基板之方法的簡化示意代表圖; 圖2A至2F根據另一實施例呈現圖案化基板之方法的簡化示意代表圖;並且圖3根據一實施例呈現描述圖案化基板之方法的流程圖;圖4根據一實施例呈現用以控制基板圖案化的製造系統的例示性系統圖。
圖案化基板的方法係揭露於各種實施例中。然而,熟悉相關技術領域者將瞭解,各種實施例可在沒有具體細節之一或更多者的條件下實行,或者可利用以下者實行:其它替代物及/或額外的方法、材料、或元件。在其他情形中,已熟知的結構、材料、或操作並未進行顯示或詳細描述,以避免模糊本發明之各種實施例的實施態樣。
類似地,針對解釋說明之目的,提出具體的數字、材料、及配置,以提供對本發明的透徹理解。然而,本發明可在沒有具體細節的條件下實行。更進一步講,已理解的是,顯示於圖式中之各種實施例係說明性的代表,且不必依比例繪製。
遍及該說明書提及的「一個實施例」、或「一實施例」、或以上者之變形意指:結合實施例進行描述之特定的特徵部、結構、材料、或特性係包含於該發明的至少一實施例中,但並不表示其出現於每一實施例中。因此,如「在一個實施例中」或「在一實施例中」的詞組在遍及本說明書之各種位置中的出現未必是指本發明之同一實施例。更進一步講,特定的特徵部、結構、材料、或特性可在一或更多實施例中以任何適合的方式進行組合。
然而,應瞭解的是,儘管一般概念的發明性本質已作解釋,但包含於本說明內容中之特徵部也具有發明性本質。
根據本發明實施例,如此處所使用之「基板」一般指的是正受處理的物件。基板可包含裝置(尤其是半導體或其他電子裝置)的任何材料部分或結 構,且舉例而言,可為基礎基板結構(如半導體晶圓)、或在基礎基板結構上(或覆蓋於基礎基板結構上)的層(例如,薄膜)。因此,晶圓並非意圖受限於任何特定的基礎結構、下方層或上方層、圖案化或未圖案化,而是將晶圓考慮成包含任何的如此之層或基礎結構、以及層及/或基礎結構的任何組合。以下描述內容可能提及特定類型的基板,但其僅為說明性之目的,而不是限制。
根據一個實施例,描述提供一種低縱橫比特徵部圖案能夠具有足夠蝕刻阻抗(針對後續蝕刻轉移製程而言)的途徑之圖案化方法。該方法使用中間材料,該中間材料在蝕刻製程之前,利用新的高蝕刻阻抗膜代替初始的光阻特徵部。圖1和2,與圖3一起說明將圖案反轉一或更多次的例示性處理順序,以改良蝕刻阻抗並降低圖案崩塌的可能性。用來使圖案反轉的材料對於選擇性地移除下方層蝕刻製程而言具有增加的蝕刻阻抗。因此,特徵部CD可被完全地轉移至下方層中。此處所描述之用以圖案化薄膜的各種實施例藉由降低光阻厚度(為克服高縱橫比的崩塌效應,需要降低光阻厚度)使得裝置可以進一步縮小。複數的技術包含使用反轉材料及/或反轉技術、以及擴散受限的回蝕(etch-back)及縮減技術。
現在參考圖式,其中相似的參考數字是指遍及若干視圖之相同的、或相對應的部件,圖1A至1G、及圖3根據一實施例說明圖案化基板的方法。該方法係在流程圖300中加以說明,且以步驟312中在基板110上承接覆蓋於待蝕刻材料層130上方的第一圖案化層140為開始。基板110可包含額外的複數層,例如第二材料層120。第一圖案化層140係由具有以下者之光阻材料所組成:(i)在曝光至極紫外光(EUV)輻射微影時,提供小於約40奈米之微影解析度的材料特性、以及(ii)針對蝕刻製程條件提供標稱蝕刻阻抗的材料特性,該蝕刻製程條件係選定用來蝕刻第一圖案化層140下方的材料。舉例而言,為簡化說明書, 將不再重複以下者之描述:例如遍及若干視圖指示相同或相對應部件的相似參考數字、若干圖式(例如,圖1A至圖1G)中共同的材料層。
第一圖案化層140可包含光阻,例如EUV光阻。舉例而言,第一圖案化層140可為高速光阻,這是因為第一圖案化層140在暴露至小於約10毫焦耳的極紫外光輻射後,可受到完全地顯影。然而,超過10毫焦耳的能量位準係可接受的。高速光阻可產生比習知光阻快5-10倍的顯影速度。例示性高速光阻係含氧化鉿光阻(包含嵌入式或懸浮式奈米級粒子的光阻)。在替代的實施例中,第一圖案化層140可包含248nm(奈米)光阻、193nm光阻、157nm光阻、EUV(極紫外光)光阻、或電子束敏感性光阻。再者,舉例來說,第一圖案化層140可包含熱凝固(thermal freeze)光阻、電磁(EM)輻射凝固光阻、或化學凝固光阻。
第一圖案化層140可藉由將材料旋轉塗佈至基板110上而形成。第一圖案化層140可使用軌道系統形成。例如,該軌道系統可包含商業上可自Tokyo Electron Limited(TEL)獲得的Clean Track ACTTM8、ACTTM12、LITHUSTM、LITHUSTMProTM、或LITHUSTMProVTM光阻塗佈及顯影系統。在基板上形成光阻膜的其他系統及方法係被熟悉旋塗光阻技術領域者所熟知。塗佈程序之後可為一或更多第一施加後烘烤(post-application bake,PAB)以加熱基板110、以及該一或更多第一PABs之後的一或更多冷卻循環以冷卻基板110。
第一圖案化層140包含第一圖案150,該第一圖案150的特徵係具有小於1.5比1之高(154)寬(152)比的特徵部。或者,高(154)寬(152)比係小於或等於1比1。當該縱橫比超過約1.5至2時,圖案崩塌的可能性增加。
第一圖案150可使用包含乾式或濕式光微影系統之輻射曝光系統而形成。第一圖案150可使用任何適合的習知步進微影系統、或掃描微影系統而形成。例如,光微影系統可商業上自ASML Netherlands B.V.(De Run 6501,5504 DR Veldhoven,The Netherlands)、或Canon USA,Inc.,Semiconductor Equipment Division(3300North First Street,San Jose,CA 95134)獲得。或者,第一圖案150可使用電子束微影系統而形成。
為完成第一圖案150,使第一圖案化層140經歷顯影製程,以移除光阻層之被暴露的或未被暴露的部分(取決於所使用的是正型或負型光阻),並且於第一圖案化層140中形成第一圖案150。如以上所描述,第一圖案150的特徵可為第一臨界尺寸(CD)或寬152、及高154。第一圖案150可包含第一線圖案。顯影程序可包含使基板暴露至如軌道系統之顯影系統中的顯影溶液。例如,軌道系統可包含商業上可自Tokyo Electron Limited(TEL)獲得的Clean Track ACTTM8、ACTTM12、LITHUSTM、LITHUSTMProTM、或LITHUSTMProVTM光阻塗佈及顯影系統。顯影製程之前可為一或更多第一曝光後烘烤(post-exposure bakes,PEB)以加熱基板110、以及該一或更多第一PEBs後的一或更多冷卻循環以冷卻基板110。
在圖3之步驟314中,並且如圖1B中所顯示,具有第一圖案化層140的基板110係上方塗佈有圖像反轉材料160,使得圖像反轉材料160填充並覆蓋第一圖案化層140,其中圖像反轉材料160具有針對蝕刻製程條件提供超出標稱蝕刻阻抗之蝕刻阻抗的材料特性。舉例而言,用以蝕刻下方材料層130的蝕刻製程條件可包含CxFy基化學成份。圖像反轉材料160對CxFy基化學成份的蝕刻阻抗係大於標稱蝕刻阻抗(或者,第一圖案化層140對蝕刻製程條件的蝕刻阻抗)。
圖像反轉材料160對轉移材料層130應具有高的蝕刻阻抗/選擇性。圖像反轉材料160可係有機的、或無機的。再者,圖像反轉材料160可為含金屬材料(例如,金屬粒子)、含Si材料(例如,含Si抗反射塗佈(ARC,anti-reflective coating)、SiOx、SiNy、SiOxNy等)、或在不超過光阻Tg溫度(玻璃轉變溫度)之烘烤溫度時表現(硬)交連(亦即,凝固)的材料。金屬物種、Si物種、或交連劑可提供材料,該材料具有增加的蝕刻阻抗的特性。
圖像反轉材料160可包含可熱固化凝固光阻、可電磁(EM)固化凝固光阻、或可化學固化凝固光阻。如此材料包含在受到熱處理、輻射處理、或化學處理時表現交連的材料。此外,化學凝固材料可包含可在輻射敏感性材料層中造成交連的任何可移除材料。化學凝固材料可包含聚合材料。舉例而言,該等材料可包含商業上可由JSR Micro,Inc.(1280 North Mathilda Avenue,Sunnyvale,CA 94089)獲得的材料,包含例如FZX F112凝固材料。或者,舉例而言,該等材料可包含商業上可由Dow Chemical Company(100 Independence Mall West,Philadelphia,PA 19106)之全資子公司Rohm and Haas獲得的材料,包含例如SCTM 1000表面固化劑(SCA,Surface Curing Agents)。
又更進一步講,圖像反轉材料160可具某程度的可溶性,使得圖像反轉材料160的頂部可受到衝擊。該上方塗佈步驟可包含回蝕步驟、或停止及填充步驟、然後回蝕步驟。對一些施加而言,選擇再顯影步驟既有優點也有缺點,該再顯影步驟對殘留物具有某種可溶性,這可取決於後續所使用的是濕式蝕刻或乾式蝕刻製程。針對圖像反轉材料160可使用任何數量的反轉劑,例如具有殘留物再顯影能力的聚合物。在替代的實施例中,可使用氧化物膜,接著是化學機械平面化(CMP,chemical-mechanical planarization)、或者是使用乾式蝕刻的回蝕。
甚至又更進一步講,圖像反轉材料160可選擇成具有固有可溶性,且表現類似於光阻,使得該圖像反轉材料160可對濕式縮減產生反應。替代的實施例可使用表現不類似光阻的材料,並且在該等實施例中,可執行等向性蝕刻,以進行縮減及/或回蝕。因此,因應選擇透過光酸擴散具有可溶性漂移的反轉材料,則可使用濕式縮減。因應使用對光酸不敏感的反轉材料(Si ARC反轉材料、或可顯影Si ARC材料),則可使用乾式蝕刻形式。
在圖3之步驟316中,且如圖1C中所顯示,移除圖像反轉材料160的上部,使得第一圖案化層140的頂部表面142暴露。在替代的實施例中,施加圖像反轉材料160,使得在上方進行塗佈之後,頂部表面142仍保持暴露的狀態。如以上所描述,移除製程可包含CPM、乾式或濕式蝕刻(包含垂直縮減製程)。
在一實施例中,利用此處的技術,基板110可留在針對移除製程/回蝕製程的塗佈器/顯影器系統中。在一實施例中,可執行圖像反轉材料160的擴散受限向下酸修整。化學修整上方塗佈物為所施加之酸自頂部(施加之處)向下擴散至膜中並改變可溶性的一範例。在圖像反轉材料160之頂部的可溶性改變之後,基板110可再次藉由塗佈器/顯影器而進行處理,並且利用濕式蝕刻而完成。
圖此處所描述的一技術係針對頂端-向下的酸再修整,利用酸塗佈(或者,上方塗佈)該堆疊物。頂端-向下的酸再修整包含在頂端上利用酸劑塗佈該基板堆疊物。然後烘烤該堆疊物,使得酸向下擴散至膜中,也就是說,擴散至圖像反轉材料160的頂部中。結果是圖像反轉材料160承接到頂端-向下的可溶性輪廓。在圖像反轉材料160之頂部現在係可溶解的條件下,可對膜進行清洗及顯影,使得圖像反轉材料160的頂部被移除。可對若干參數進行調整,以控制酸擴散的量或深度。舉例而言,烘烤時間、上方塗佈物的濃度、光酸的分子質量、烘烤溫度、以及額外的上方塗佈物曝光(以驅動所施加的酸)、酸群組的類型。
頂端-向下再修整後,利用烘烤步驟可對圖像反轉材料160進行設置、或使其凝固。然後,在圖3之步驟318中,且如圖1D中所顯示,可移除第一圖案化層140,使得圖像反轉材料160留下,導致形成第二圖案化層。第一圖案化層140的移除可藉由以下者而執行:溶劑氣相製程、化學旋轉塗佈製程、光阻顯影製程、溶劑旋轉塗佈製程、乾式或濕式蝕刻製程等。在第一圖案化層140被移除的條件下,結果是具有受到反轉之第二圖案的第二圖案化層170。
如圖1E中所顯示,根據蝕刻製程條件,使用蝕刻製程將第二圖案化層170的圖案轉移至材料層130中,以形成材料層圖案135。蝕刻製程可為乾式或濕式蝕刻製程。蝕刻製程可為電漿或非電漿蝕刻製程。蝕刻製程條件係選擇成以比蝕刻製程條件蝕刻其他材料之速率大的速率對下方材料層130進行選擇性地蝕刻。
為將第二圖案反轉成第一圖案,已圖案化的材料層130係上方塗佈有第二圖像反轉材料180,使得第二圖像反轉材料180填充、並覆蓋已圖案化的材料層130。如以上所提出,第二圖像反轉材料180可具有針對蝕刻製程條件提供超過標稱蝕刻阻抗之蝕刻阻抗的材料特性。並且,如圖1F中所顯示,移除第二圖像反轉材料180的上部,使得已圖案化之材料層130的頂部表面暴露。
如圖1G中所顯示,移除已圖案化的材料層130,使得第二圖像反轉材料180留下,導致形成第三圖案化層185。
根據另一實施例,圖2A至2E說明圖案化基板的方法。該方法包含在基板上承接覆蓋於待蝕刻材料層230上方的第一圖案化層240。基板210可包含額外的複數層,例如下方材料層230、及第二材料層220。第一圖案化層240係由具有以下者之光阻材料所組成:(i)在曝光至極紫外光(EUV)輻射微影時,提供小於約40奈米之微影解析度的材料特性、以及(ii)針對蝕刻製程條件提供標稱蝕刻阻抗的材料特性,該蝕刻製程條件係選定用來蝕刻第一圖案化層240下方的材料。如以上所提及,為簡化說明書,將不再重複以下者之描述:例如遍及若干視圖指示相同或相對應部件的相似參考數字、若干圖式(例如,圖2A至圖2F)中共同的材料層。
第一圖案化層240包含第一圖案250,該第一圖案250的特徵係具有小於1.5比1之高(254)寬(252)比的特徵部。或者,高(254)寬(252)比係小於或等於1比1。當該縱橫比超過約1.5至2時,圖案崩塌的可能性增加。
如圖2B中所顯示,具有第一圖案化層240的基板210係上方塗佈有圖像反轉材料260,使得圖像反轉材料260填充並覆蓋第一圖案化層240,其中圖像反轉材料260具有針對蝕刻製程條件提供超出標稱蝕刻阻抗之蝕刻阻抗的材料特性。
如圖2C中所顯示,移除圖像反轉材料260的上部,使得第一圖案化層240的頂部表面242暴露。在替代的實施例中,施加圖像反轉材料260,使得在上方進行塗佈之後,頂部表面242仍保持暴露的狀態。如以上描述,移除製程可包含CPM、乾式或濕式蝕刻(包含垂直縮減製程)。然後移除第一圖案化層240,使得圖像反轉材料260留下,導致形成第二圖案化層270。在第一圖案化層240被移除的條件下,結果是具有受到反轉之第二圖案的第二圖案化層270。
如圖2D中所顯示,第二圖案化層270係上方塗佈有第二圖像反轉材料280,使得第二圖像反轉材料280填充、並覆蓋第二圖案化層270。第二圖像反轉材料280具有針對蝕刻製程條件提供超過標稱蝕刻阻抗之蝕刻阻抗的材料特性。
如圖2E中所顯示,移除第二圖像反轉材料280的上部,使得第二圖案化層270的頂部表面272暴露。此後,如圖2F中所顯示,移除第二圖案化層270,使得第二圖像反轉材料280留下,導致形成第三圖案化層285。此後,可使用蝕刻製程將第三圖案化層285的圖案轉移至下方材料層230中。
圖4根據一實施例呈現用以控制基板圖案化的製造系統的例示性系統圖400。使圖案化系統404耦接至控制器455、並且至製造系統408。控制器455可包含能產生控制電壓的微處理器、記憶體、及數位I/O埠,該控制電壓足以連通和啟動對圖案化系統404及對製造系統408的輸入、並監控來自製造系統408的輸出。此外,可使控制器455耦接至以下者並與其交換資訊:圖案化系統404、以及製造系統408的子系統。舉例而言,根據製程配方,可利用儲存在記憶體中的 程式啟動對製造系統408之上述子系統、以及對圖案化系統404之上述子系統的輸入,以在基板上執行圖案化製程。
取決於施加製程,可使如感測器、或計量裝置的額外裝置耦接至圖案化系統404且至製造系統408,並且控制器455可收集即時資料,並使用如此即時資料來同時地控制涉及以下者的二或更多步驟中之一或更多選定的操作變數:可溶性程度、酸擴散的深度、縱橫比、蝕刻化學物流速、蝕刻化學物溫度、蝕刻化學物之分壓、以及類似者,以達成圖案化目標。具體地,耦接至圖案化系統404的控制器455可用以基於儲存在儲存裝置中、記憶體中的指令、或基於藉由感測器或藉由外部電腦網路而傳達的資料執行序列操作。
一或更多感測器可編程為偵測製程終點、污染物的出現、或者蝕刻不足或過度蝕刻、或者可溶性程度或酸擴散深度超出可接受範圍的位置,該一或更多感測器與控制器一起解決問題。製造系統408可包含沉積、濕式或乾式蝕刻、清洗、沖洗、軌道、或流體處理半導體製造系統。進一步講,控制器可配置成利用同時受到控制之選定的操作變數,以達成包含下列者的圖案化目標:降低擁有成本、增加基板每小時的產能、減少粒子污染、減少處理化學物及氣體的使用、以及類似者。
儘管於以上已進行詳述的僅僅是本發明的某些實施例,但是熟悉本技術領域者將輕易瞭解,在實質上不背離本發明之新穎教示及優點的條件下,實施例中許多變化皆有可能。因此,意在將所有如此之變化包含於本發明之範疇內。
300‧‧‧流程圖
312‧‧‧步驟
314‧‧‧步驟
316‧‧‧步驟
318‧‧‧步驟

Claims (20)

  1. 一種圖案化基板的方法,該方法包含:在一基板上承接覆蓋於待蝕刻的一材料層上方的一第一圖案化層,該第一圖案化層係由具有以下者的一光阻材料所組成:(i)在曝光至極紫外光(EUV)輻射微影時,提供小於約40奈米之微影解析度的材料特性、以及(ii)針對一蝕刻製程條件提供一標稱蝕刻阻抗的材料特性,該蝕刻製程條件係選定用來蝕刻該第一圖案化層下方的材料,其中該第一圖案化層的特徵係具有小於1.5比1之高寬比的特徵部;利用一圖像反轉材料對該第一圖案化層進行上方塗佈,使得該圖像反轉材料填充並覆蓋該第一圖案化層,其中該圖像反轉材料具有針對該蝕刻製程條件提供超出該標稱蝕刻阻抗的一蝕刻阻抗的材料特性;移除該圖像反轉材料的上部,使得該第一圖案化層的頂部表面暴露;並且移除該第一圖案化層,使得該圖像反轉材料留下,導致形成一第二圖案化層。
  2. 如申請專利範圍第1項之圖案化基板的方法,更包含:將該第二圖案化層的圖案轉移至該材料層中。
  3. 如申請專利範圍第2項之圖案化基板的方法,更包含:利用一第二圖像反轉材料對已圖案化的該材料層進行上方塗佈,使得該第二圖像反轉材料填充並覆蓋已圖案化的該材料層;移除該第二圖像反轉材料的上部,使得已圖案化的該材料層的頂部表面暴露;以及移除已圖案化的該材料層,使得該第二圖像反轉材料留下,導致形成一第三圖案化層。
  4. 如申請專利範圍第1項之圖案化基板的方法,更包含: 利用一第二圖像反轉材料對該第二圖案化層進行上方塗佈,使得該第二圖像反轉材料填充並覆蓋該第二圖案化層,其中該第二圖像反轉材料具有針對該蝕刻製程條件提供超過該標稱蝕刻阻抗的一蝕刻阻抗的材料特性;移除該第二圖像反轉材料的上部,使得該第二圖案化層的頂部表面暴露;以及移除該第二圖案化層,使得該第二圖像反轉材料留下,導致形成一第三圖案化層。
  5. 如申請專利範圍第4項之圖案化基板的方法,更包含:將該第三圖案化層的圖案轉移至該材料層中。
  6. 如申請專利範圍第1項之圖案化基板的方法,其中:該第一圖案化層包含一高速光阻、一極紫外光(EUV)光阻、或一電子束光阻。
  7. 如申請專利範圍第6項之圖案化基板的方法,其中該極紫外光(EUV)光阻係一高速光阻。
  8. 如申請專利範圍第7項之圖案化基板的方法,其中該高速光阻係含氧化鉿光阻。
  9. 如申請專利範圍第1項之圖案化基板的方法,其中:該第一圖案化層包含一熱凝固(thermal freeze)光阻、一電磁凝固光阻、或一化學凝固光阻。
  10. 如申請專利範圍第1項之圖案化基板的方法,其中:該圖像反轉材料160係有機的、或無機的材料。
  11. 如申請專利範圍第1項之圖案化基板的方法,其中:該圖像反轉材料係一含金屬材料、一含矽材料、或一矽抗反射塗佈(ARC)材料。
  12. 如申請專利範圍第1項之圖案化基板的方法,其中:該圖像反轉材料係一硬交連材料。
  13. 如申請專利範圍第1項之圖案化基板的方法,其中:對該第一圖案化層進行上方塗佈之步驟包含一回蝕步驟、或一停止及填充步驟、接著是一回蝕步驟。
  14. 如申請專利範圍第13項之圖案化基板的方法,其中:該圖像反轉材料的反轉劑包含具有殘留物再顯影能力的聚合物。
  15. 如申請專利範圍第13項之圖案化基板的方法,其中:該圖像反轉材料的反轉劑包含氧化物膜,該氧化物膜係利用化學機械平面化步驟、或者之後的回蝕及乾式蝕刻製程而加以處理。
  16. 如申請專利範圍第13項之圖案化基板的方法,其中:該圖像反轉材料使用一非光阻材料,其中執行一等向性蝕刻,以縮減及回蝕該圖像反轉材料。
  17. 如申請專利範圍第1項之圖案化基板的方法,其中:對該第一圖案化層進行上方塗佈之步驟包含一化學修整上方塗佈物,該化學修整上方塗佈物中所施加之酸自頂部向下擴散、並改變該圖像反轉材料的可溶性。
  18. 如申請專利範圍第17項之圖案化基板的方法,其中:烘烤該第一圖案化層,使得該酸向下擴散,導致形成具有一頂端-向下可溶性輪廓的該圖像反轉材料。
  19. 如申請專利範圍第1項之圖案化基板的方法,其中:該第一圖案化層的移除係使用以下者而執行:一溶劑氣相製程、一化學旋轉塗佈製程、一光阻顯影製程、一溶劑旋轉塗佈製程、一乾式蝕刻製程或濕式蝕刻製程。
  20. 一種圖案化基板的方法,該方法包含:在一基板上承接覆蓋於待蝕刻的一材料層上方的一第一圖案化層,該第一圖案化層係由具有以下者的一光阻材料所組成:(i)在曝光至極紫外光輻射微影時,提供小於約40奈米之微影解析度的材料特性、以及(ii)針對一蝕刻製程條件提供一標稱蝕刻阻抗的材料特性,該蝕刻製程條件係選定用來蝕刻該第一圖案化層下方的材料,其中該第一圖案化層的特徵係具有小於1.5比1之高寬比的特徵部;利用一圖像反轉材料對該第一圖案化層進行上方塗佈,使得該圖像反轉材料填充並覆蓋該第一圖案化層,其中該圖像反轉材料具有針對該蝕刻製程條件提供超出該標稱蝕刻阻抗的一蝕刻阻抗的材料特性;移除該圖像反轉材料的上部,使得該第一圖案化層的頂部表面暴露;移除該第一圖案化層,使得該圖像反轉材料留下,導致形成一第二圖案化層;以及控制一或更多選定的操作變數,在包含以下者的一或更多操作期間同時地控制該操作變數:利用該圖像反轉材料對該第一圖案化層進行上方塗佈、移除該圖像反轉材料的上部、及/或移除該第一圖案化層使得該圖像反轉材料留下並導致形成一第二圖案化層,該控制係為達成圖案化目標而進行。
TW104133957A 2014-10-16 2015-10-16 極紫外光光阻蝕刻耐久性改良及圖案崩塌減輕 TWI582830B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201462064636P 2014-10-16 2014-10-16

Publications (2)

Publication Number Publication Date
TW201626438A TW201626438A (zh) 2016-07-16
TWI582830B true TWI582830B (zh) 2017-05-11

Family

ID=54364973

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104133957A TWI582830B (zh) 2014-10-16 2015-10-16 極紫外光光阻蝕刻耐久性改良及圖案崩塌減輕

Country Status (5)

Country Link
US (1) US9791779B2 (zh)
EP (1) EP3010033B1 (zh)
JP (1) JP6379080B2 (zh)
KR (1) KR101789381B1 (zh)
TW (1) TWI582830B (zh)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9633847B2 (en) * 2015-04-10 2017-04-25 Tokyo Electron Limited Using sub-resolution openings to aid in image reversal, directed self-assembly, and selective deposition
JP6236481B2 (ja) * 2016-02-17 2017-11-22 東京エレクトロン株式会社 パターン形成方法
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10438797B2 (en) 2016-09-06 2019-10-08 Tokyo Electron Limited Method of quasi atomic layer etching
US10832908B2 (en) * 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
JP6800779B2 (ja) * 2017-03-06 2020-12-16 Hoya株式会社 転写用マスクの製造方法、および半導体デバイスの製造方法
US11608321B2 (en) * 2017-06-23 2023-03-21 Universal Display Corporation Organic electroluminescent materials and devices
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10347486B1 (en) * 2017-12-19 2019-07-09 International Business Machines Corporation Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (EUV) lithography
US10656527B2 (en) * 2017-12-21 2020-05-19 International Business Machines Corporation Patterning material film stack with hard mask layer configured to support selective deposition on patterned resist layer
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films
US10615037B2 (en) 2018-08-17 2020-04-07 International Business Machines Corporation Tone reversal during EUV pattern transfer using surface active layer assisted selective deposition
WO2020140234A1 (zh) * 2019-01-03 2020-07-09 京东方科技集团股份有限公司 模板制备方法
US11501969B2 (en) * 2019-01-22 2022-11-15 International Business Machines Corporation Direct extreme ultraviolet lithography on hard mask with reverse tone
CN111552090A (zh) * 2019-02-12 2020-08-18 世界先进积体电路股份有限公司 半导体装置
US11335717B2 (en) 2019-03-22 2022-05-17 Vanguard International Semiconductor Corporation Semiconductor device including light-collimating layer
JP7203677B2 (ja) * 2019-04-17 2023-01-13 東京エレクトロン株式会社 パターン形成方法及びパターン形成システム
WO2023028243A1 (en) * 2021-08-25 2023-03-02 Geminatio, Inc. Narrow line cut masking process

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010267880A (ja) * 2009-05-15 2010-11-25 Tokyo Electron Ltd レジストパターンのスリミング処理方法
JP2010286618A (ja) * 2009-06-10 2010-12-24 Tokyo Ohka Kogyo Co Ltd パターン形成方法
TW201235787A (en) * 2011-02-17 2012-09-01 Fujifilm Corp Gap embedding composition, method of embedding gap and method of producing semiconductor device by using the composition
TW201241555A (en) * 2011-02-15 2012-10-16 Shinetsu Chemical Co Resist composition and patterning process

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3320648B2 (ja) * 1997-12-04 2002-09-03 東京エレクトロン株式会社 レジスト膜の形成方法及びレジスト膜の形成装置
US7371509B2 (en) 2004-05-07 2008-05-13 Micron Technology, Inc. Resist pattern and reflow technology
JP5203575B2 (ja) * 2005-05-04 2013-06-05 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. コーティング組成物
US7767570B2 (en) 2006-03-22 2010-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy vias for damascene process
JP2008066467A (ja) 2006-09-06 2008-03-21 Toshiba Corp パターン形成方法
JP4857208B2 (ja) 2006-11-10 2012-01-18 信越化学工業株式会社 レジスト材料を用いたパターン形成方法
JP5115752B2 (ja) * 2008-11-21 2013-01-09 信越化学工業株式会社 パターン形成方法
JP5624858B2 (ja) * 2009-11-20 2014-11-12 東京応化工業株式会社 パターン形成方法
US9176377B2 (en) * 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
JP5711958B2 (ja) * 2010-12-17 2015-05-07 東京応化工業株式会社 パターン形成方法
JP5798102B2 (ja) 2011-11-29 2015-10-21 信越化学工業株式会社 ケイ素含有レジスト下層膜形成用組成物及びパターン形成方法
JP5776615B2 (ja) 2012-04-11 2015-09-09 信越化学工業株式会社 パターン形成方法
CN104871289B (zh) * 2012-12-14 2017-10-10 巴斯夫欧洲公司 包含表面活性剂和疏水化剂的组合物在处理线间距尺寸为50nm或更低的图案化材料时避免图案崩塌的用途
JP5794243B2 (ja) * 2013-02-18 2015-10-14 信越化学工業株式会社 パターン形成方法
JP2016539361A (ja) 2013-11-08 2016-12-15 東京エレクトロン株式会社 Euvリソグラフィを加速するためのポスト処理メソッドを使用する方法
JP2017521715A (ja) 2014-07-08 2017-08-03 東京エレクトロン株式会社 ネガティブトーン現像剤相溶性フォトレジスト組成物及び使用方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010267880A (ja) * 2009-05-15 2010-11-25 Tokyo Electron Ltd レジストパターンのスリミング処理方法
JP2010286618A (ja) * 2009-06-10 2010-12-24 Tokyo Ohka Kogyo Co Ltd パターン形成方法
TW201241555A (en) * 2011-02-15 2012-10-16 Shinetsu Chemical Co Resist composition and patterning process
TW201235787A (en) * 2011-02-17 2012-09-01 Fujifilm Corp Gap embedding composition, method of embedding gap and method of producing semiconductor device by using the composition

Also Published As

Publication number Publication date
EP3010033A1 (en) 2016-04-20
US9791779B2 (en) 2017-10-17
US20160109804A1 (en) 2016-04-21
EP3010033B1 (en) 2018-08-29
JP2016081065A (ja) 2016-05-16
JP6379080B2 (ja) 2018-08-22
TW201626438A (zh) 2016-07-16
KR20160045036A (ko) 2016-04-26
KR101789381B1 (ko) 2017-11-15

Similar Documents

Publication Publication Date Title
TWI582830B (zh) 極紫外光光阻蝕刻耐久性改良及圖案崩塌減輕
KR101860243B1 (ko) Euv 리소그래피를 가속화하기 위한 사후처리 방법을 이용한 방법
KR102310834B1 (ko) 그래프팅 중합체 물질의 사용으로 기판의 패턴화
TWI478211B (zh) 包含線內化學臨界尺寸縮窄之微影-凍結-微影-蝕刻雙重圖案形成
KR101625782B1 (ko) 패턴 평활화 및 인라인 임계 치수 슬리밍을 위한 증기 처리 프로세스
CN102084300B (zh) 超精细图案化掩模、其生产方法以及将其用于形成超精细图案的方法
TWI585822B (zh) 基板上之接觸窗開口的圖案化方法
US9263297B2 (en) Method for self-aligned double patterning without atomic layer deposition
US9209039B2 (en) Methods of forming a reversed pattern in a substrate, and related semiconductor device structures
KR101988193B1 (ko) 화학적 폴리싱 및 평탄화를 위한 방법
TWI662369B (zh) 半導體元件的形成方法
TWI397108B (zh) 可顯影之抗反射塗層的雙重圖形化方法
TWI515768B (zh) 微影圖案化方法及雙重圖案化方法
KR20130108300A (ko) 스핀-온 층에 고종횡비 패터닝을 하는 방법
TW201417143A (zh) 極紫外光光阻敏感性降低
US20220388232A1 (en) Method for removing material overburden via enhanced freeze-less anti-spacer formation using a bilayer system
JP2001092152A (ja) 半導体装置の製造方法
KR20220060264A (ko) 반도체 장치의 미세 패턴 형성방법