JP2016081065A - Euvレジストエッチング耐久性を向上しパターン崩壊の軽減するパターン化の方法。 - Google Patents

Euvレジストエッチング耐久性を向上しパターン崩壊の軽減するパターン化の方法。 Download PDF

Info

Publication number
JP2016081065A
JP2016081065A JP2015203573A JP2015203573A JP2016081065A JP 2016081065 A JP2016081065 A JP 2016081065A JP 2015203573 A JP2015203573 A JP 2015203573A JP 2015203573 A JP2015203573 A JP 2015203573A JP 2016081065 A JP2016081065 A JP 2016081065A
Authority
JP
Japan
Prior art keywords
patterned layer
image reversal
layer
resist
patterned
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2015203573A
Other languages
English (en)
Other versions
JP6379080B2 (ja
Inventor
フーリ ライオア
Huli Lior
フーリ ライオア
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2016081065A publication Critical patent/JP2016081065A/ja
Application granted granted Critical
Publication of JP6379080B2 publication Critical patent/JP6379080B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

【課題】EUVレジストのエッチング耐性を改善して、パターン崩壊を軽減する方法を提供する。【解決手段】第1のパターン化層は、(i)極端紫外線(EUV)リソグラフィーに露光されるとき、40ナノメートルよりも短い、リソグラフィー解像度を有する物性、及び(ii)第1のパターン化層の下にある材料層をエッチングするために選択されるエッチングプロセス条件のための、公称エッチング耐性を与える物性を有しているレジスト材で構成されている(S312)。さらに、像反転材が第1のパターン化層を埋めて覆うように像反転材を第1のパターン化層の上にオーバーコートする工程(S314)と、第1のパターン化層の上面を露出するように像反転材の上部を取り除く工程(S316)と、残った像反転材が第2のパターン化層となるように第1のパターン化層を取り除く工程(S318)と、を有する。【選択図】図3

Description

本発明は、薄膜を基板上でパターン化する方法に関する。
(優先権)
本出願は、2014年10月16日に、US,CFR37§1.78(a)(4)に従って出願された係属中の米国出願第62/064636号の優先権を主張し、本出願の明細書において、その基礎出願全体を参照により援用する。
材料処理方法論において、パターンエッチングは、基板の上表面に感放射線材料(例えばフォトレジスト)を塗布すること、フォトリソグラフィーを用いて感放射線材料の層においてパターンを形成すること、エッチングプロセスを用いて、感放射線材料の層に形成されたパターンを基板上の下地薄膜へ転写すること、を含む。現像液を使用して、感放射線材料の照射領域(ポジティブトーンレジストの場合)又は非照射領域(ネガティブトーンレジストの場合)を取り除く前に、感放射線材料のパターニングは、一般的に、例えばフォトリソグラフィーシステムを使用する、電磁(EM)放射のパターンへの感放射線材料の露光を必要とする。
最近では、EUV(極端紫外)フォトリソグラフィーは、安価なプロセスを使用している次世代装置を使用可能にするための候補となっている。サブ22nmのハーフピッチ・パターンを制御することを難しくする2つの重大な問題は、パターン崩壊と、エッチプロセスを用いて、レジスト特徴パターンを下地層へ転写するための十分なエッチエッチング耐性及び厚みのEUVレジスト層を提供する能力である。更なるスケーリングから生まれるアスペクト比の増加は、パターン崩壊の徴候を促進する。アスペクト比は、臨界寸法(CD)又は特徴パターンの幅に対する、特徴パターンの高さに関連する。
パターン崩壊を克服する解決策の1つは、低いアスペクト比を達成するためにレジストの厚さを薄くすることである。低いアスペクト比を達成するレジストの厚さの縮小は、EUVレジストの比較的低いエッチング耐性に起因して、エッチングプロセスによる、レジスト特徴パターンを下地層へすべて転写する能力を制限してしまう。したがって、他の解決策は、EUVフォトリソグラフィーの制限を克服すること、および、次のエッチング・ステップのために十分なエッチング耐性を備えるサブ22nmの半分ピッチパターンを崩壊させないことを達成することが要求される。
本発明は、基板上の薄膜にパターン化する方法に関するものである。本発明は、EUVレジストのエッチング耐性を改善して、EUVレジストパターンのパターン崩壊を軽減することにさらに関する。
本発明の一態様では、基板をパターニングする方法が説明されている。パターニング方法は、エッチングされる材料層を覆う第1のパターン化層を、基板上で受け取る工程を有しており、第1のパターン化層は、(i)極端紫外(EUV)線リソグラフィーに露光されるとき、40ナノメートルよりも短い、リソグラフィー解像度を有する物性、(ii)前記第1のパターン化層の下にある材料をエッチングするために、エッチングプロセス条件のための、公称エッチング耐性を与える物性を有しているレジスト材で構成されている。前記公称エッチング耐性を超えるエッチングプロセス条件の、エッチング耐性を与える物性を有している像反転材が前記第1のパターン化層を埋めて覆うように、前記像反転材を前記第1のパターン化層は、前記像反転材でオーバーコートされる。
パターニング方法はさらに、前記第1のパターン化層の上面を露出するように、前記像反転材の上部を取り除く工程、及び残った前記像反転材が第2のパターン化層となるように、前記第1のパターン化層を取り除く工程、を有する。
一態様のパターニング方法によれば、UVレジストのエッチング耐性を改善して、EUVレジストパターンのパターン崩壊を軽減する。
基板をパターン化する一実施形態であって、基板上に、エッチングされる材料層を覆う第1のパターン化層を受け取る工程を示す 第1のパターン化層が設けられた基板が像反転材でオーバーコートされる工程を示す。 像反転材の上部が除去される工程を示す。 残った像反転材が第2のパターン化層となるように、第1のパターン化層が除去される工程を示す。 第2のパターン化層のパターンを、材料層へ転写する工程を示す。 パターン化された材料層が、第2の像反転材でオーバーコートされる工程を示す。 残った第2の像反転材が第3のパターン化層になるように、パターン化された材料層が除去される工程を示す。 基板をパターン化する別の実施形態であって、基板上に、エッチングされる材料層を覆う第1のパターン化層を受け取る工程を示す 第1のパターン化された材料層が、像反転材でオーバーコートされる工程を示す。 像反転材の上部が除去される工程を示す。 パターン化層が、第2の像反転材でオーバーコートされる工程を示す。 第2の像反転材の上部が除去される工程を示す。 残った第2の像反転材が第3のパターン化層となるように、第2のパターン化層が除去される工程を示す。 ある実施形態に係る基板をパターン化する方法を説明するフローチャートを示す。 ある実施形態に係る、基板のパターニングを制御する、製造システムの、例示的なシステムチャートを示す。
基板をパターン化する方法(パターニング方法)は、下記いろいろな実施形態において説明される。しかし、本技術に関連した技術の当業者にとって、特定の詳細が記載されていなくても、他の代替例及び/又は追加の方法、材料又は構成要素が、様々な実施形態がとり得ると、理解することができる。他の例として、公知の構造、材料又は動作は、発明のいろいろな実施形態の特徴が不明瞭になることを避けるために、詳細には記述されていない又は示されていない。
同様に、説明のために、特定の、数、材料、及び構成は、発明を完全な理解を提供するために定められている。しかしながら、発明は、特定の詳細に記載していないものでも、実施されうる。さらにまた、いろいろな実施形態において示される図は、必ずしも正しいスケールで表現されているわけではないものと理解されうる。
「一実施形態」または「ある実施形態」又はこれらの変形例は、実施形態に関連して記述される特定の性質、構造、材料または特徴は、発明の少なくとも1つの実施形態に含まれることを意味しているが、それらが、すべての実施形態に存在することを意味しているわけではない。したがって、本明細書を通して、様々な場所での、例えば「1つの実施形態においた」または「一実施形態において」のフレーズの状況が、発明の同じ実施形態に、必ずしも言及しているというわけではない。さらにまた、特定の性質、構造、材料又は特徴は、一つ以上の実施形態において、いずれかの適切な方法で、組み合わせてもよい。
それでもなお、説明に含まれる性質は、説明された一般的な概念の発明の性質だけではなく、追加の発明の性質が、説明の範囲内で含みうることが当業者にとって明らかである。
ここで用いられる「基板」は、本発明の実施形態に従って、処理されている対象物に、一般的に言及している。基板は、装置、特に半導体または他のエレクトロニクス装置の、いかなる材料又はいかなる構造物であってもよい。例えば、基板は半導体ウエハなどのベース基板構造であってもよく、又は薄膜などの、ベース基板構造の上にある又は覆っている層であってもよい。したがって、基板は、いかなる特定のベース構造、下地層、又は上を覆う層、及びパターン化されている又はパターン化されていないこと、に限定しておらず、むしろ、それらの層又はベース層を含むこと、及びそれらの層及び又はベース構造を含むいかなる組み合わせ等も考えられる。下記の説明は特定の種類の基板に参照番号(図の素材等)をつけることもある、しかし、これは例示的な目的であって、種類を制限するものではない。
一実施形態において、次のエッチング転写プロセスために十分なエッチング耐性で低いアスペクト比の特徴パターンを可能にするために経路(配線経路:pathway)を提供する、パターニング方法を説明する。本方法では、エッチングプロセスの前に、元の耐性機能を新たな高いエッチング耐性フィルムへ入れ替える中間材料を用いる。図3と共に、図1及び図2は、1回以上パターンを反転することで、エッチング耐性を向上し、パターン崩壊の可能性を減少させる、例示的なプロセスシーケンスを示す。パターンを反転させるために用いられる材料は、下地層を選択的に除去するエッチングプロセスに対する、より一層のエッチング耐性がある。したがって、臨界寸法(CD)の寸法を、下地層へ変換することができる。ここで記述される、薄膜をパターニングするための様々な実施形態は、高アスペクト比における崩壊効果を克服するために必要とされる耐性の厚さを薄くすることによって、装置の更なる小型化を可能にする。本技術は、拡散律速エッチバック及びスリミングと同様に、反転材(材料)や反転技術を使用することを含む。
ここで図面を参照すると、参照番号は、複数の図を通じて、同一であるか対応する部分(パーツ)を示している。図1A〜図1Gは、一実施形態に係る基板をパターン化する方法を例示している。方法はフローチャート300に図示されており、基板110上に、エッチングされる材料層130を覆う第1のパターニングされた層(パターン化層)140を受け取る、S310で開始する。基板110は、例えば第2の材料層120などの追加の層を含んでもよい。
第1のパターン化層240は、(i)極端紫外線(EUV:extreme ultraviolet radiation)リソグラフィーで露光されるとき、およそ40ナノメートル未満のリソグラフィー解像度―を与える物性、及び(ii)第1のパターン化層240の下にある材料にエッチングするのに選ばれるエッチングプロセス条件に対する公称エッチング耐性を与える物性を有しているレジスト材料から構成されている。
上述と同様に、説明を簡潔化するために、上述で参照した、同一であるか対応するパーツを示している数字は、例えば、図2A〜図2Gで示す複数の図で共通な材料層について、説明を繰り返さない。
第1のパターン化層140は、フォトレジスト(例えばEUVレジスト(resist))を含むことができる。例えば、第1のパターン化層140が極端紫外線(放射)のおよそ10(mJ)ミリジュール未満への露光の後、完全に現像されることができるという点で、第1のパターン化層140は高速レジストでありえる。しかし、10ミリジュールを上回るエネルギー準位も、許容することができる。高速レジストは、従来のフォトレジストより5〜10倍速い現像速度を与えることができる。例示的な高速レジストは、ハフニウム酸化物を含むフォトレジスト(埋め込みであるか吊るされたナノ粒子を含んでいるレジスト)である。
代替の実施形態において、第1のパターン化層140は、248nm(ナノメートル)レジスト、193nmのレジスト、157nmのレジスト、EUV(極端紫外)レジスト又は電子ビーム感光レジストを含んでいてもよい。さらに、例えば、第1のパターン化層140は、熱凍結フォトレジスト、電磁(EM)放射線凍フォトレジスト、または化学凍結フォトレジストを含んでいてもよい。
第1のパターン化層140は、材料を基板110の上へスピンコーティングすることによって形成されてもよい。第1のパターン化層140は、トラックシステム(追跡システム)を使って形成されてもよい。例えば、トラックシステムは、東京エレクトロン株式会社(TEL)から商業的に入手可能な、クリーントラックACTTM 8、ACTTM 12、LITHIUSTM、LITHIUSTM ProTMまたはLITHIUSTM ProVTMのレジスト塗布・現像システムを含みうる。
フォトレジスト膜を基板上へ形成する方法は、スピンコーティング技術として当業者にはよく知られている。コーティングプロセスの次には、基板110を加熱するための1つ以上のポスト・アプリケーション・ベーク(PAB:post-application bakes)及び、1つ以上の第1のポスト・アプリケーション・ベーク(PAB)の後の、基板を冷却するための一つ以上の冷却サイクルを行ってもよい。
第1のパターン化層140は、1.5:1未満の高さ(154)と幅(152)との比率を持つ性質である第1のパターン150を含むことを特徴としている。あるいは、高さ(154):幅(152)の比率は、1:1以下である。アスペクト比(縦横比)が約1.5:2を超えると、パターン崩壊の可能性が増加する。
第1のパターン150は、ドライ式又はウエット式のフォトリソグラフィーシステムを含む放射露光システムを使用して形成されてもよい。第1のパターン150は、従来の何らかの適切なステッピング・リソグラフィーシステムを使用するか、リソグラフィーシステムをスキャンすることによって形成されうる。例えば、フォトリソグラフィーシステムは、ASMLオランダB.V. (De Run 6501, 5504 DR Veldhoven, オランダ)又はキャノンUSA半導体装置部門(3300 North First Street, San Jose, CA 95134)から商業的に入手可能であってもよい。
あるいは、第1のパターン150は、電子ビームリソグラフィーシステムを使用して形成されてもよい。
第1のパターン150を完成するように、(ポジティブトーンレジストを使用するか又はネガティブトーンレジストを使用するかに応じて)レジスト層の露光された部分又は露光されていない部分を取り除くために、第1のパターン化層140は現像プロセスを受け、そして、第1のパターン150が第1のパターン化層140に形成される。上述のように、第1のパターン150は、第1の臨界寸法(CD)又は幅152、及び高さ154によって特徴づけられ得る。第1のパターン150は、第1の線状パターンを含んでもよい。
現像プロセスは、現像システム、例えばトラックシステムにおいて、基板を現像液へ(で)露光することを含む。例えば、トラックシステムは、東京エレクトロン株式会社(TEL)から商業的に入手可能な、クリーントラックACTTM 8、ACTTM 12、LITHIUSTM、LITHIUSTM ProTMまたはLITHIUSTM ProVTMのレジスト塗布・現像システムを含みうる。
現像プロセスの前には、基板110を加熱するための1つ以上のポスト・露光(exposure)・ベーク(PEB)、及び、1つ以上の第1のポスト・アプリケーション・ベーク(PEB)の後に基板110を冷却するための一つ以上の冷却サイクルを行ってもよい。
図3のS314において、図1Bで示すように、像反転材160は、第1のパターン化層140を埋めて覆うように、第1のパターン化層140を設けられた基板110が像反転材160でオーバーコートされる。ここで、像反転材160は、公称エッチング耐性を超えるエッチングプロセス条件のためのエッチング耐性を提供する物性を有している。例えば、下地材料層130をエッチングするためのエッチングプロセス条件は、Cx-Fyベースの化学組成物を含みうる。像反転材160のCx-Fyベースの化学組成物へのエッチング耐性は、公称エッチング耐性(あるいは、エッチングプロセス条件に対する第1のパターン化層140のエッチング耐性)よりも大きい。
像反転材160は、材料層130に転写するために、高いエッチング耐性/選択性を有している必要がある。像反転材160は、有機物であってもよいし、無機物であってもよい。さらに、像反転材160は、金属を含有する材料(例えば、金属粒子)、シリコン(Si)含有材料(例えば、Siを含むARC(反射防止コーティング、SiOx、SiNy、SiOxNyなど)、あるいは、レジスト温度Tg(ガラスの転移温度)を上回らないベーク温度で(硬い)架橋結合(即ち、「フリージング」)を示す材料、で有り得る。像反転材160を金属種、Si種、又は架橋剤とすることにより、エッチング耐性特性が増加した材料を提供することができる。
像反転材160は、熱的に硬化性凍結レジスト、EM硬化性凍結レジスト、または化学的硬化性凍結レジストを含みうる。そのような材料は、熱的に処理されるとき、放射線的に処理されるとき、又は化学的に処理されるとき、架橋結合を示す材料を含む。さらに、化学凍結資材は、感放射線材料の層のおける架橋結合を引き起こしうる、取り除き可能な材料を含んでいてもよい。化学凍結資材は、ポリマー材料を含んでいてもよい。
これらの材料は、例えばFZX F112凍結材料を含んでおり、JSRマイクロ社 (1280 North Mathilda Avenue, Sunnyvale, CA 94089)から商業的に入手可能である。あるいは、これらの材料は、SCTM 1000 Surface Curing Agents (SCA)を備える、Rohm&Hass社、Dow Chemical Company (100 Independence Mall West, Philadelphia, PA 19106)の完全子会社、からも商業的に入手可能である。
さらには、像反転材160の上部が腐食(Attack)可能なように、像反転材160は、ある程度の可溶性を有することができる。このオーバーコート・プロセスはエッチバックステップを含むことができるか、あるいは停止して充填した後にエッチバックステップを含むことができる。いくつかの適用例には、利点と欠点があるため、次に使用されるのがウエットエッチングプロセスか、ドライエッチングプロセスかに応じた、いくつかの残りの溶解度を含む現像バックを、選択することができる。
例えば、残留現像バック(residual develop back)の性能を備えるポリマーなど、任意の数の反転剤(反転作用物質)を像反転材160として使用することができる。他の実施形態において、化学的機械的な平坦化(CMP)の前に、または、ドライエッチングを使用するエッチバックの前に、酸化物膜が使用されることができる。
さらにまた、それがウエットスリミングに応答できるように、像反転材160は、固有の可溶性を持っていて、フォトレジストと同等の振る舞いをするように選択され得る。他の実施形態において、レジストのように振る舞わない材料(非レジスト材)を使用することができる、それらの実施形態では、スリミングやエッチバックをするために、等方性エッチングが実行されることができる。このように、写真酸(photo acid)拡散を介してシフトする可溶性を有している反転材を選択することに応じて、その後、ウエットスリミングが使用されることができる。写真酸に反応しない反転材(Si・ARC反転又は現像可能なSi・ARC材料)を使用することに応じて、その後、ドライエッチングの形態が、使用されることができる。
図3のS316において、図1Cで示すように、第1のパターン化層140の上面142が露出するように、像反転材160の上部は取り除かれる。他の実施形態では、(像反転材160の)オーバーコートを行った後も、上面142(の部分)が露出した状態を維持するように、像反転材160が塗膜されてもよい。上述のように、除去プロセスは、垂直なスリミングプロセスを含む、CMP、ドライエッチング、ウエットエッチングを有しうる。
ある実施態様において、ここでの技術では、除去/エッチバックプロセスのために、基板110はコーティング/現像システムの中に残ることができる。ある実施態様において、拡散が制限された酸による、像反転材160の下方へのトリミングが実行されることができる。
化学的トリム(trim)・オーバーコートは、酸が塗布された上側からフィルムの内側へ拡散していき、溶解性を変更する一例である。
像反転材160の上部の溶解性の変化の後、基板110は、コーティング装置/現像装置によって再び処理されることができ、ウエットエッチングとともに完成される。
ここで説明する1つの技術は、トップダウン(下向き、上から下の)酸トリムバックのためにスタックを酸でコーティングする(又はオーバーコート)ことである。
トップダウン酸トリムバックは、トップで基板・スタックの上面を酸剤でコーティングすることを含む。
そして、酸がフィルムの下方へ拡散して、つまり、像反転材160の上部から内部に広まるように、スタックがベークされる。その結果、トップダウン可溶性プロファイルを受ける像反転材160となる。像反転材160の上部が可溶性であることによって、像反転材160の上部が除去されるように、膜が洗浄され、現像されることができる。
複数のパラメータは、酸拡散の量または深さを制御するように調整されることができる。例えば、ベーク時間、オーバーコートの濃度、写真酸の分子量、ベーク温度、添加酸を駆動させる更なるオーバーコート露出、酸性グループの種類などである。
トップダウントリムバックの後、像反転材160は、ベークとともに、セットされる又は凍らせられることできる。そして、図3のS318において及び図1Dで示すように、残った像反転材160が第2のパターン化層となるように、第1のパターン化層140は除去されうる。第1のパターン化層140の除去は、溶剤蒸気プロセス、化学スピンコーティング・プロセス、レジスト現像プロセス、溶剤スピンコーティング・プロセス、ドライエッチングプロセス、又はウエットエッチングプロセスによって実施されうる。第1のパターン化層140が除去されることにより、その結果が反転した第2のパターンを有する、第2のパターン化層170となる。
図1Eで示すように、材料層パターン135を形成するために、エッチングプロセス条件による、エッチングプロセス条件に応じたエッチングプロセスを使用することによって、第2のパターン化層170のパターンを、材料層130へ転写する。エッチングプロセスは、ドライエッチングプロセスであってもよいし、ウエットエッチングプロセスであってもよい。エッチングプロセスは、プラズマエッチングプロセスであってもよいし、非プラズマエッチプロセスであってもよい。エッチングプロセス条件は、第2のパターン化層170を含む他の材料をエッチングする割合よりも大きい割合で、下地材料層130を選択的にエッチングするために選択される。
第2のパターンを第1のパターンへ反転するために、第2の像反転材180が、パターニングされた(パターン化された)材料層130を、埋めて覆うように、パターン化された材料層130が、第2の像反転材180でオーバーコートされる。上述のように、第2の像反転材180は、エッチング耐性が公称エッチング耐性を上回っているエッチングプロセス条件を提供する物性を有することができる。そして、図1Fで示すように、パターン化された材料層130の上面が露光されるように、第2の像反転材180の上部は除去される。
図1Gで示すように、残った第2の像反転材180が第3のパターン化層185となるように、パターン化された材料層130が除去される。
もう一つの実施形態として、図2A〜図2Eは、基板のパターニング方法を例示する。方法は、基板上でエッチングされる材料層230を覆う第1のパターン化層240を受けとることを含む。基板210は、追加の層(例えば、下地材料層230と第2の材料層220)を含んでもよい。
第1のパターン化層240は、(i)極端紫外線(EUV)リソグラフィーで露光されるとき、およそ40ナノメートル未満のリソグラフィー解像度を与える物性、及び(ii)第1のパターン化層240の下にある材料にエッチングするのに選ばれるエッチングプロセス条件に対する公称エッチング耐性を与える物性を有しているレジスト材料から構成されている。
上述と同様に、説明を簡潔化するために、上述で参照した、同一であるか対応するパーツを示している数字は、例えば、図2A〜図2Gで示す複数の図で共通な材料層について、説明を繰り返さない。
第1のパターン化層240は、1.5:1の未満の高さ(254)と幅(252)との比率である性質である第1のパターン250を含むことを特徴としている。あるいは、高さ(254)対幅(252)の比率は、1:1以下でもよい。アスペクト比がおよそ1.5:2を超えるとき、パターン崩壊の可能性が増加する。
図2Bで示すように、像反転材260が、第1のパターン化層240を、埋めて覆うように、第1のパターン化層240が、像反転材260でオーバーコートされる。ここで、像反転材260は、公称エッチング耐性を超えるエッチングプロセス条件のためのエッチング耐性を与える物性を有している。
図2Cで示すように、第1のパターン化層240の上面242が露出するように、像反転材260の上部は取り除かれる。他の実施形態において、(像反転材260を)オーバーコートした後も、上面242が露出した状態を維持するように、像反転材260が塗布(塗膜)される。上述のように、除去プロセスは、垂直なスリミングプロセスを含む、CMP、ドライエッチング、ウエットエッチングを有しうる。
残っている像反転材26が第2のパターン化層270となるように、第1のパターン化層240が除去される。第1のパターン化層240が除去されることにより、その結果が反転した第2のパターンを有する、第2のパターン化層270となる。
図2Dで示すように、第2の像反転材280が、第2のパターン化層270を、埋めて覆うように、第2のパターン化層270が、第2の像反転材280でオーバーコートされる。上述のように、第2の像反転材280は、エッチング耐性が公称エッチング耐性を上回っているエッチングプロセス条件を提供する物性を有することができる。
図2Eで示すように、第2のパターン化層270の上面が露光されるように、第2の像反転材280の上部が除去される。
その後、図2Fで示すように、残った第2の像反転材280が第3のパターン化層285となるように、第2のパターン化層270が除去される。そして、エッチングプロセス条件を使用して、第3のパターン化層285のパターンが下地材料層230へ、転写されることができる。
図4は、一実施形態に係る基板のパターニングを制御するための、製造システムの例示的なシステムチャート400を示している。パターニングシステム404は、コントローラ455及び製造システム408へ結合している。コントローラ455は、マイクロプロセッサ、メモリ、デジタルI/Oポートを備えており、通信して、製造システム408からの出力をモニタすること同時に、製造システム408の入力及び製造システム408への入力を駆動させるのに十分な、制御電圧を生成することができる。さらに、コントローラ455は製造システム408のパターニングシステム404及びサブシステムと結合され、情報が交換されることができる。例えば、基板上でパターニング・プロセスを実行するために、プロセスレシピに従って、メモリに記憶されるプログラムは、製造システム408の上述のサブシステム及びパターニングシステムのサブシステムへの入力を達成するように使用されることができる。
アプリケーションに従って、センサ又は計測学装置のような追加の装置をパターニングシステム404、及び製造システム408へ結合することができる。コントローラ455は、リアルタイム・データを収集することができる。
コントローラ455は、パターニングの目的を達成するために、溶解性の程度、酸拡散の深さ、アスペクト比、エッチング化学流量、エッチング化学熱、エッチング化学製品の分圧、などを含んでいる、2つ以上のステップにおいて並行して一つ以上の選ばれた操作の変数をコントロールするために、そのリアルタイム・データを使用することができる。具体的には、パターニングシステム404に結合するコントローラ455は、記憶装置、メモリに保存される指示に基づいて、あるいは、センサ又は外部コンピュータ・ネットワークで伝えられるデータに基づいて、動作のシーケンスを実行するように構成されることができる。
一つ以上のセンサは、プロセスの終点、汚染物質の存在、又は、エッチングの程度(上か下か)、あるいは可溶性の程度又は酸拡散の深さが許容できる範囲の外にあるかどうかを検知するようにプログラムされることができ、これにより、コントローラとともに、問題を解決する。製造システム408は、堆積、ウエットエッチング、ドライエッチング、クリーニング、リンス、追跡、又は流体処置のために半導体の製造システムを含むことができる。
さらに、コントローラ455はパターニングの目的を達成するために並行して制御される選択された操作の変数を利用するように構成されることができる。そして、パターニングの目的は、普及コストの削減、時間あたりの基板のスループットの向上、小片の汚染の減少、処理化学製品及びガスの使用量の減少、などを含む。
この発明の特定の実施形態のみを上記詳述したが、当業者は、多くの修正が、本発明の新しい教示及び長所から、物質的に離れることなく複数の実施形態において可能であることは明らかである。したがって、すべてのそのような修正は、この発明の範囲内に含まれることを意図している。
110,210 基板
120,220 第2の材料層
130,230 材料層(下地材料層)
135 材料層パターン
140,240 第1のパターン化層
150,250 第1のパターン
160,260 像反転材
170,270 第2のパターン化層
180,280 第2の像反転材
185 第3のパターン化層
404 パターニングシステム
408 製造システム
455 コントローラ

Claims (21)

  1. エッチングされる材料層を覆う第1のパターン化層を、基板上で受け取る工程であって、
    前記第1のパターン化層は、(i)極端紫外線(EUV)リソグラフィーに露光されるとき、40ナノメートルよりも短い、リソグラフィー解像度を有する物性、及び(ii)前記第1のパターン化層の下にある前記材料層をエッチングするために選択されるエッチングプロセス条件のための、公称エッチング耐性を与える物性を有しているレジスト材で構成されている、工程と、
    前記公称エッチング耐性を超えるエッチングプロセス条件の、エッチング耐性を与える物性を有している像反転材が前記第1のパターン化層を埋めて覆うように、前記像反転材を前記第1のパターン化層の上にオーバーコートする工程と、
    前記第1のパターン化層の上面を露出するように、前記像反転材の上部を取り除く工程と、
    残った前記像反転材が第2のパターン化層となるように、前記第1のパターン化層を取り除く工程と、を有しており、
    前記第1のパターン化層は、1.5:1よりも小さい高さ対幅の比率を持つことを特徴とする、
    方法。
  2. 前記第2のパターン化層のパターンを前記材料層へ転写する工程をさらに有する、
    請求項1に記載の方法。
  3. 第2の像反転材がパターン化された材料層を埋めて覆うように、前記第2の像反転材を、前記パターン化された材料層の上にオーバーコートする工程と、
    前記パターン化された材料層の上面を露出するように、前記第2の像反転材の上部を取り除く工程と、
    残った前記第2の像反転材が第3のパターン化層となるように、前記パターン化された材料層を取り除く工程と、をさらに有する、
    請求項2に記載の方法。
  4. 公称エッチング耐性を超えるエッチングプロセス条件の、エッチング耐性を与える物性を有している第2の像反転材が、前記第2のパターン化層を埋めて覆うように、前記第2の像反転材を前記第2のパターン化層の上にオーバーコートする工程と、
    前記第2のパターン化層の上面を露出するように、前記第2の像反転材の上部を取り除く工程と、
    残った前記第2の像反転材が第3のパターン化層となるように、前記第2のパターン化層を取り除く工程と、をさらに有する、
    請求項1に記載の方法。
  5. 前記第3のパターン化層のパターンを材料層へ転写する工程をさらに有する、
    請求項4に記載の方法。
  6. 前記第1のパターン化層は、高速レジスト、極端紫外線(EUV)レジストまたは電子ビームレジストを備える、
    請求項1に記載の方法。
  7. 前記EUVレジストは、高速レジストである、
    請求項6に記載の方法。
  8. 前記高速レジストは、ハフニウム酸化物を含有するレジストである、
    請求項7に記載の方法。
  9. 前記第1のパターン化層は、熱の凍結レジスト、電磁凍結レジストまたは化学凍結レジストを備える、
    請求項1に記載の方法。
  10. 前記像反転材は有機材料又は無機材料である、
    請求項1に記載の方法。
  11. 前記像反転材は、金属含有材料、シリコン含有材料又は、シリコン・反射防止コーティング(ARC)材料である、
    請求項1に記載の方法。
  12. 前記像反転材は、硬い架橋材料である、
    請求項1に記載の方法。
  13. 前記第1のパターン化層をオーバーコートする工程は、
    エッチバックステップ、または、エッチバックステップの前の停止及び充填を含む、
    請求項1に記載の方法。
  14. 前記像反転材のための反転剤は、残留現像バックの性能を備えるポリマーを含む、
    請求項1に記載の方法。
  15. 前記像反転材のための反転剤は、化学的機械的な平坦化ステップで処理され、又は、そのステップの後のエッチバックプロセス及びドライエッチングプロセスで処理された、酸化フィルムを含む、
    請求項1に記載の方法。
  16. 前記像反転材は、前記像反転材をスリミングし、エッチバックするように、等方性エッチングが実行される、非レジスト材を使用する、
    請求項1に記載の方法。
  17. 前記第1のパターン化層をオーバーコートする工程は、塗膜された酸が上から下まで拡散して、前記第1のパターン化層の溶解性を変化させる、化学的なトリム・オーバーコートを含む、
    請求項1に記載の方法。
  18. 下方に広まった酸がトップダウン可溶性プロファイルを有する像反転材となるように、前記第1のパターン化層がベークされる、
    請求項17に記載の方法。
  19. 前記第1のパターン化層の上にオーバーコートした後でも、前記第1のパターン化層の上面が露出している、
    請求項1に記載の方法。
  20. 前記第1のパターン化層を取り除く工程は、溶剤蒸気プロセス、化学スピンコーティング・プロセス、レジスト現像プロセス、溶剤スピンコーティング・プロセス、ドライエッチングプロセス、又はウエットエッチングプロセスを使用して、実行される、
    請求項1に記載の方法。
  21. エッチングされる材料層を覆う第1のパターン化層を、基板上で受け取る工程であって、
    前記第1のパターン化層は、(i)極端紫外線(EUV)リソグラフィーに露光されるとき、40ナノメートルよりも短い、リソグラフィー解像度を有する物性、(ii)前記第1のパターン化層の下にある前記材料層をエッチングするために選択されるエッチングプロセス条件のための、公称エッチング耐性を与える物性を有している、レジスト材料で構成されている、工程と、
    公称エッチング耐性を超えるエッチングプロセス条件の、エッチング耐性を与える物性を有している像反転材が前記第1のパターン化層を埋めて覆うように、前記像反転材を前記第1のパターン化層の上にオーバーコートする工程と、
    前記第1のパターン化層の上面を露出するように、前記像反転材の上部を取り除く工程と、
    残った前記像反転材が第2のパターン化層となるように、前記第1のパターン化層を取り除く工程と、
    前記第1のパターン化層を前記像反転材でオーバーコートする工程を含む一つ以上の選択された動作の間、並行してコントロールされる一つ以上の選択された動作の変数を制御する工程と、前記像反転材の上部を取り出す工程と、および/または残った前記像反転材が第2のパターン化層となるように、前記第1のパターン化層を取り除く工程と、を有するパターニングの目的を達成するために、制御を実行する工程と、を有し、
    前記第1のパターン化層は、高さ対幅の比率が、1.5対1よりも小さい高さ対幅の比率の比率を持つことを特徴とする、
    方法。
JP2015203573A 2014-10-16 2015-10-15 Euvレジストエッチング耐久性を向上しパターン崩壊の軽減するパターン化の方法。 Active JP6379080B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201462064636P 2014-10-16 2014-10-16
US62/064,636 2014-10-16

Publications (2)

Publication Number Publication Date
JP2016081065A true JP2016081065A (ja) 2016-05-16
JP6379080B2 JP6379080B2 (ja) 2018-08-22

Family

ID=54364973

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015203573A Active JP6379080B2 (ja) 2014-10-16 2015-10-15 Euvレジストエッチング耐久性を向上しパターン崩壊の軽減するパターン化の方法。

Country Status (5)

Country Link
US (1) US9791779B2 (ja)
EP (1) EP3010033B1 (ja)
JP (1) JP6379080B2 (ja)
KR (1) KR101789381B1 (ja)
TW (1) TWI582830B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016213444A (ja) * 2015-04-10 2016-12-15 東京エレクトロン株式会社 イメージ反転、誘導自己組織化、および選択的堆積を補助するための、サブ解像度開口部の使用
JP2018146760A (ja) * 2017-03-06 2018-09-20 Hoya株式会社 転写用マスクの製造方法、および半導体デバイスの製造方法
JP2020178022A (ja) * 2019-04-17 2020-10-29 東京エレクトロン株式会社 パターン形成方法及びパターン形成システム

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
JP6236481B2 (ja) * 2016-02-17 2017-11-22 東京エレクトロン株式会社 パターン形成方法
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
WO2018048925A1 (en) * 2016-09-06 2018-03-15 Tokyo Electron Limited Method of quasi atomic layer etching
US10832908B2 (en) * 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US11608321B2 (en) * 2017-06-23 2023-03-21 Universal Display Corporation Organic electroluminescent materials and devices
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10347486B1 (en) 2017-12-19 2019-07-09 International Business Machines Corporation Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (EUV) lithography
US10656527B2 (en) * 2017-12-21 2020-05-19 International Business Machines Corporation Patterning material film stack with hard mask layer configured to support selective deposition on patterned resist layer
CN112005343A (zh) 2018-03-02 2020-11-27 朗姆研究公司 使用水解的选择性沉积
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films
US10615037B2 (en) 2018-08-17 2020-04-07 International Business Machines Corporation Tone reversal during EUV pattern transfer using surface active layer assisted selective deposition
US11467487B2 (en) * 2019-01-03 2022-10-11 Boe Technology Group Co., Ltd. Method for manufacturing template
US11501969B2 (en) * 2019-01-22 2022-11-15 International Business Machines Corporation Direct extreme ultraviolet lithography on hard mask with reverse tone
CN111552090A (zh) * 2019-02-12 2020-08-18 世界先进积体电路股份有限公司 半导体装置
US11335717B2 (en) 2019-03-22 2022-05-17 Vanguard International Semiconductor Corporation Semiconductor device including light-collimating layer
KR20240056508A (ko) * 2021-08-25 2024-04-30 제미나티오, 인코포레이티드 내로우 라인 컷 마스킹 프로세스

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11168041A (ja) * 1997-12-04 1999-06-22 Tokyo Electron Ltd レジスト膜の形成方法
JP2006337996A (ja) * 2005-05-04 2006-12-14 Rohm & Haas Electronic Materials Llc コーティング組成物
JP2008066467A (ja) * 2006-09-06 2008-03-21 Toshiba Corp パターン形成方法
JP2008139827A (ja) * 2006-11-10 2008-06-19 Shin Etsu Chem Co Ltd レジスト材料及びこれを用いたパターン形成方法
JP2010152299A (ja) * 2008-11-21 2010-07-08 Shin-Etsu Chemical Co Ltd パターン形成方法
JP2010286618A (ja) * 2009-06-10 2010-12-24 Tokyo Ohka Kogyo Co Ltd パターン形成方法
JP2011128610A (ja) * 2009-11-20 2011-06-30 Tokyo Ohka Kogyo Co Ltd パターン形成方法
JP2011253185A (ja) * 2010-06-01 2011-12-15 Inpria Corp パターン形成された無機層、放射線によるパターン形成組成物、およびそれに対応する方法
JP2012132949A (ja) * 2010-12-17 2012-07-12 Tokyo Ohka Kogyo Co Ltd パターン形成方法
JP2012185485A (ja) * 2011-02-15 2012-09-27 Shin Etsu Chem Co Ltd レジスト材料及びこれを用いたパターン形成方法
JP2013137512A (ja) * 2011-11-29 2013-07-11 Shin Etsu Chem Co Ltd ケイ素含有レジスト下層膜形成用組成物及びパターン形成方法
JP2013218191A (ja) * 2012-04-11 2013-10-24 Shin Etsu Chem Co Ltd パターン形成方法
WO2014091363A1 (en) * 2012-12-14 2014-06-19 Basf Se Use of compositions comprising a surfactant and a hydrophobizer for avoiding anti pattern collapse when treating patterned materials with line-space dimensions of 50 nm or below
JP2014157301A (ja) * 2013-02-18 2014-08-28 Shin Etsu Chem Co Ltd パターン形成方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7371509B2 (en) 2004-05-07 2008-05-13 Micron Technology, Inc. Resist pattern and reflow technology
US7767570B2 (en) 2006-03-22 2010-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy vias for damascene process
JP5011345B2 (ja) 2009-05-15 2012-08-29 東京エレクトロン株式会社 レジストパターンのスリミング処理方法
TWI541609B (zh) 2011-02-17 2016-07-11 富士軟片股份有限公司 填隙組成物、填隙方法以及使用該組成物製造半導體元件的方法
JP2016539361A (ja) 2013-11-08 2016-12-15 東京エレクトロン株式会社 Euvリソグラフィを加速するためのポスト処理メソッドを使用する方法
JP2017521715A (ja) 2014-07-08 2017-08-03 東京エレクトロン株式会社 ネガティブトーン現像剤相溶性フォトレジスト組成物及び使用方法

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11168041A (ja) * 1997-12-04 1999-06-22 Tokyo Electron Ltd レジスト膜の形成方法
JP2006337996A (ja) * 2005-05-04 2006-12-14 Rohm & Haas Electronic Materials Llc コーティング組成物
JP2008066467A (ja) * 2006-09-06 2008-03-21 Toshiba Corp パターン形成方法
JP2008139827A (ja) * 2006-11-10 2008-06-19 Shin Etsu Chem Co Ltd レジスト材料及びこれを用いたパターン形成方法
JP2010152299A (ja) * 2008-11-21 2010-07-08 Shin-Etsu Chemical Co Ltd パターン形成方法
JP2010286618A (ja) * 2009-06-10 2010-12-24 Tokyo Ohka Kogyo Co Ltd パターン形成方法
JP2011128610A (ja) * 2009-11-20 2011-06-30 Tokyo Ohka Kogyo Co Ltd パターン形成方法
JP2011253185A (ja) * 2010-06-01 2011-12-15 Inpria Corp パターン形成された無機層、放射線によるパターン形成組成物、およびそれに対応する方法
JP2012132949A (ja) * 2010-12-17 2012-07-12 Tokyo Ohka Kogyo Co Ltd パターン形成方法
JP2012185485A (ja) * 2011-02-15 2012-09-27 Shin Etsu Chem Co Ltd レジスト材料及びこれを用いたパターン形成方法
JP2013137512A (ja) * 2011-11-29 2013-07-11 Shin Etsu Chem Co Ltd ケイ素含有レジスト下層膜形成用組成物及びパターン形成方法
JP2013218191A (ja) * 2012-04-11 2013-10-24 Shin Etsu Chem Co Ltd パターン形成方法
WO2014091363A1 (en) * 2012-12-14 2014-06-19 Basf Se Use of compositions comprising a surfactant and a hydrophobizer for avoiding anti pattern collapse when treating patterned materials with line-space dimensions of 50 nm or below
JP2014157301A (ja) * 2013-02-18 2014-08-28 Shin Etsu Chem Co Ltd パターン形成方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016213444A (ja) * 2015-04-10 2016-12-15 東京エレクトロン株式会社 イメージ反転、誘導自己組織化、および選択的堆積を補助するための、サブ解像度開口部の使用
JP2018146760A (ja) * 2017-03-06 2018-09-20 Hoya株式会社 転写用マスクの製造方法、および半導体デバイスの製造方法
JP2020178022A (ja) * 2019-04-17 2020-10-29 東京エレクトロン株式会社 パターン形成方法及びパターン形成システム
JP7203677B2 (ja) 2019-04-17 2023-01-13 東京エレクトロン株式会社 パターン形成方法及びパターン形成システム

Also Published As

Publication number Publication date
JP6379080B2 (ja) 2018-08-22
EP3010033B1 (en) 2018-08-29
KR20160045036A (ko) 2016-04-26
US20160109804A1 (en) 2016-04-21
KR101789381B1 (ko) 2017-11-15
EP3010033A1 (en) 2016-04-20
US9791779B2 (en) 2017-10-17
TW201626438A (zh) 2016-07-16
TWI582830B (zh) 2017-05-11

Similar Documents

Publication Publication Date Title
JP6379080B2 (ja) Euvレジストエッチング耐久性を向上しパターン崩壊の軽減するパターン化の方法。
KR102310834B1 (ko) 그래프팅 중합체 물질의 사용으로 기판의 패턴화
US9653319B2 (en) Method for using post-processing methods for accelerating EUV lithography
US8530147B2 (en) Patterning process
TWI662369B (zh) 半導體元件的形成方法
TWI585822B (zh) 基板上之接觸窗開口的圖案化方法
US9263297B2 (en) Method for self-aligned double patterning without atomic layer deposition
US9646845B2 (en) Method of forming a mask for substrate patterning
US9378974B2 (en) Method for chemical polishing and planarization
US9633847B2 (en) Using sub-resolution openings to aid in image reversal, directed self-assembly, and selective deposition
JP2010080903A (ja) パターン形成方法、半導体装置の製造方法及び半導体装置の製造装置
Hu Photolithography technology in electronic fabrication
TWI515768B (zh) 微影圖案化方法及雙重圖案化方法
JP2009139695A (ja) 半導体装置の製造方法
KR20130108300A (ko) 스핀-온 층에 고종횡비 패터닝을 하는 방법
JP2015528644A (ja) Euvレジスト感度の減少
US20220388232A1 (en) Method for removing material overburden via enhanced freeze-less anti-spacer formation using a bilayer system
TW202427065A (zh) 半導體工件圖案化
KR20080092154A (ko) 반도체 소자의 미세 패턴 형성방법
US8507190B2 (en) Method for preparing alignment mark for multiple patterning
Osborn et al. A modified bilayer resist approach for 45 nm flash lithography

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160927

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20161004

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20161202

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170126

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170418

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170614

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170817

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180123

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180320

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180710

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180730

R150 Certificate of patent or registration of utility model

Ref document number: 6379080

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250