JP2016081065A - Euvレジストエッチング耐久性を向上しパターン崩壊の軽減するパターン化の方法。 - Google Patents
Euvレジストエッチング耐久性を向上しパターン崩壊の軽減するパターン化の方法。 Download PDFInfo
- Publication number
- JP2016081065A JP2016081065A JP2015203573A JP2015203573A JP2016081065A JP 2016081065 A JP2016081065 A JP 2016081065A JP 2015203573 A JP2015203573 A JP 2015203573A JP 2015203573 A JP2015203573 A JP 2015203573A JP 2016081065 A JP2016081065 A JP 2016081065A
- Authority
- JP
- Japan
- Prior art keywords
- patterned layer
- image reversal
- layer
- resist
- patterned
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000034 method Methods 0.000 title claims abstract description 121
- 238000005530 etching Methods 0.000 title claims abstract description 42
- 230000000116 mitigating effect Effects 0.000 title abstract 2
- 238000000059 patterning Methods 0.000 title description 30
- 239000000463 material Substances 0.000 claims abstract description 181
- 230000008569 process Effects 0.000 claims abstract description 73
- 238000000576 coating method Methods 0.000 claims abstract description 10
- 239000011248 coating agent Substances 0.000 claims abstract description 9
- 239000000758 substrate Substances 0.000 claims description 44
- 230000000704 physical effect Effects 0.000 claims description 18
- 239000002253 acid Substances 0.000 claims description 16
- 239000000126 substance Substances 0.000 claims description 14
- 238000011161 development Methods 0.000 claims description 12
- 238000001312 dry etching Methods 0.000 claims description 9
- 238000004528 spin coating Methods 0.000 claims description 6
- 238000001039 wet etching Methods 0.000 claims description 6
- 238000004132 cross linking Methods 0.000 claims description 4
- 239000012313 reversal agent Substances 0.000 claims description 4
- 239000002904 solvent Substances 0.000 claims description 4
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 3
- 238000001900 extreme ultraviolet lithography Methods 0.000 claims description 3
- 239000002184 metal Substances 0.000 claims description 3
- 229910052751 metal Inorganic materials 0.000 claims description 3
- 229910052710 silicon Inorganic materials 0.000 claims description 3
- 239000010703 silicon Substances 0.000 claims description 3
- 238000010894 electron beam technology Methods 0.000 claims description 2
- 229910000449 hafnium oxide Inorganic materials 0.000 claims description 2
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 claims description 2
- 229910010272 inorganic material Inorganic materials 0.000 claims description 2
- 239000011147 inorganic material Substances 0.000 claims description 2
- 239000011368 organic material Substances 0.000 claims description 2
- 229920000642 polymer Polymers 0.000 claims description 2
- 230000033001 locomotion Effects 0.000 claims 2
- 230000005855 radiation Effects 0.000 abstract description 14
- 238000001459 lithography Methods 0.000 abstract description 7
- 238000004519 manufacturing process Methods 0.000 description 12
- 229920002120 photoresistant polymer Polymers 0.000 description 9
- 239000010408 film Substances 0.000 description 6
- 238000009792 diffusion process Methods 0.000 description 5
- 238000000206 photolithography Methods 0.000 description 5
- 239000010409 thin film Substances 0.000 description 5
- 238000012546 transfer Methods 0.000 description 5
- 238000001816 cooling Methods 0.000 description 4
- 239000004065 semiconductor Substances 0.000 description 4
- 238000007710 freezing Methods 0.000 description 3
- 230000008014 freezing Effects 0.000 description 3
- 230000008859 change Effects 0.000 description 2
- 239000003795 chemical substances by application Substances 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000012545 processing Methods 0.000 description 2
- 229910004304 SiNy Inorganic materials 0.000 description 1
- 229910020286 SiOxNy Inorganic materials 0.000 description 1
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 1
- 230000002378 acidificating effect Effects 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 239000003431 cross linking reagent Substances 0.000 description 1
- 238000000151 deposition Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000000609 electron-beam lithography Methods 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 239000007789 gas Substances 0.000 description 1
- 230000009477 glass transition Effects 0.000 description 1
- 239000002923 metal particle Substances 0.000 description 1
- 239000002105 nanoparticle Substances 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 239000002861 polymer material Substances 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 230000007480 spreading Effects 0.000 description 1
- 238000003892 spreading Methods 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 238000009966 trimming Methods 0.000 description 1
Images
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/40—Treatment after imagewise removal, e.g. baking
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/0035—Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/30—Imagewise removal using liquid means
- G03F7/32—Liquid compositions therefor, e.g. developers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
Landscapes
- Engineering & Computer Science (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Inorganic Chemistry (AREA)
- Chemical & Material Sciences (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Photosensitive Polymer And Photoresist Processing (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
Abstract
Description
(優先権)
本出願は、2014年10月16日に、US,CFR37§1.78(a)(4)に従って出願された係属中の米国出願第62/064636号の優先権を主張し、本出願の明細書において、その基礎出願全体を参照により援用する。
パターニング方法はさらに、前記第1のパターン化層の上面を露出するように、前記像反転材の上部を取り除く工程、及び残った前記像反転材が第2のパターン化層となるように、前記第1のパターン化層を取り除く工程、を有する。
第1のパターン化層240は、(i)極端紫外線(EUV:extreme ultraviolet radiation)リソグラフィーで露光されるとき、およそ40ナノメートル未満のリソグラフィー解像度―を与える物性、及び(ii)第1のパターン化層240の下にある材料にエッチングするのに選ばれるエッチングプロセス条件に対する公称エッチング耐性を与える物性を有しているレジスト材料から構成されている。
上述と同様に、説明を簡潔化するために、上述で参照した、同一であるか対応するパーツを示している数字は、例えば、図2A〜図2Gで示す複数の図で共通な材料層について、説明を繰り返さない。
代替の実施形態において、第1のパターン化層140は、248nm(ナノメートル)レジスト、193nmのレジスト、157nmのレジスト、EUV(極端紫外)レジスト又は電子ビーム感光レジストを含んでいてもよい。さらに、例えば、第1のパターン化層140は、熱凍結フォトレジスト、電磁(EM)放射線凍フォトレジスト、または化学凍結フォトレジストを含んでいてもよい。
フォトレジスト膜を基板上へ形成する方法は、スピンコーティング技術として当業者にはよく知られている。コーティングプロセスの次には、基板110を加熱するための1つ以上のポスト・アプリケーション・ベーク(PAB:post-application bakes)及び、1つ以上の第1のポスト・アプリケーション・ベーク(PAB)の後の、基板を冷却するための一つ以上の冷却サイクルを行ってもよい。
あるいは、第1のパターン150は、電子ビームリソグラフィーシステムを使用して形成されてもよい。
現像プロセスは、現像システム、例えばトラックシステムにおいて、基板を現像液へ(で)露光することを含む。例えば、トラックシステムは、東京エレクトロン株式会社(TEL)から商業的に入手可能な、クリーントラックACTTM 8、ACTTM 12、LITHIUSTM、LITHIUSTM ProTMまたはLITHIUSTM ProVTMのレジスト塗布・現像システムを含みうる。
現像プロセスの前には、基板110を加熱するための1つ以上のポスト・露光(exposure)・ベーク(PEB)、及び、1つ以上の第1のポスト・アプリケーション・ベーク(PEB)の後に基板110を冷却するための一つ以上の冷却サイクルを行ってもよい。
これらの材料は、例えばFZX F112凍結材料を含んでおり、JSRマイクロ社 (1280 North Mathilda Avenue, Sunnyvale, CA 94089)から商業的に入手可能である。あるいは、これらの材料は、SCTM 1000 Surface Curing Agents (SCA)を備える、Rohm&Hass社、Dow Chemical Company (100 Independence Mall West, Philadelphia, PA 19106)の完全子会社、からも商業的に入手可能である。
例えば、残留現像バック(residual develop back)の性能を備えるポリマーなど、任意の数の反転剤(反転作用物質)を像反転材160として使用することができる。他の実施形態において、化学的機械的な平坦化(CMP)の前に、または、ドライエッチングを使用するエッチバックの前に、酸化物膜が使用されることができる。
化学的トリム(trim)・オーバーコートは、酸が塗布された上側からフィルムの内側へ拡散していき、溶解性を変更する一例である。
像反転材160の上部の溶解性の変化の後、基板110は、コーティング装置/現像装置によって再び処理されることができ、ウエットエッチングとともに完成される。
トップダウン酸トリムバックは、トップで基板・スタックの上面を酸剤でコーティングすることを含む。
そして、酸がフィルムの下方へ拡散して、つまり、像反転材160の上部から内部に広まるように、スタックがベークされる。その結果、トップダウン可溶性プロファイルを受ける像反転材160となる。像反転材160の上部が可溶性であることによって、像反転材160の上部が除去されるように、膜が洗浄され、現像されることができる。
複数のパラメータは、酸拡散の量または深さを制御するように調整されることができる。例えば、ベーク時間、オーバーコートの濃度、写真酸の分子量、ベーク温度、添加酸を駆動させる更なるオーバーコート露出、酸性グループの種類などである。
第1のパターン化層240は、(i)極端紫外線(EUV)リソグラフィーで露光されるとき、およそ40ナノメートル未満のリソグラフィー解像度を与える物性、及び(ii)第1のパターン化層240の下にある材料にエッチングするのに選ばれるエッチングプロセス条件に対する公称エッチング耐性を与える物性を有しているレジスト材料から構成されている。
上述と同様に、説明を簡潔化するために、上述で参照した、同一であるか対応するパーツを示している数字は、例えば、図2A〜図2Gで示す複数の図で共通な材料層について、説明を繰り返さない。
残っている像反転材26が第2のパターン化層270となるように、第1のパターン化層240が除去される。第1のパターン化層240が除去されることにより、その結果が反転した第2のパターンを有する、第2のパターン化層270となる。
その後、図2Fで示すように、残った第2の像反転材280が第3のパターン化層285となるように、第2のパターン化層270が除去される。そして、エッチングプロセス条件を使用して、第3のパターン化層285のパターンが下地材料層230へ、転写されることができる。
コントローラ455は、パターニングの目的を達成するために、溶解性の程度、酸拡散の深さ、アスペクト比、エッチング化学流量、エッチング化学熱、エッチング化学製品の分圧、などを含んでいる、2つ以上のステップにおいて並行して一つ以上の選ばれた操作の変数をコントロールするために、そのリアルタイム・データを使用することができる。具体的には、パターニングシステム404に結合するコントローラ455は、記憶装置、メモリに保存される指示に基づいて、あるいは、センサ又は外部コンピュータ・ネットワークで伝えられるデータに基づいて、動作のシーケンスを実行するように構成されることができる。
さらに、コントローラ455はパターニングの目的を達成するために並行して制御される選択された操作の変数を利用するように構成されることができる。そして、パターニングの目的は、普及コストの削減、時間あたりの基板のスループットの向上、小片の汚染の減少、処理化学製品及びガスの使用量の減少、などを含む。
120,220 第2の材料層
130,230 材料層(下地材料層)
135 材料層パターン
140,240 第1のパターン化層
150,250 第1のパターン
160,260 像反転材
170,270 第2のパターン化層
180,280 第2の像反転材
185 第3のパターン化層
404 パターニングシステム
408 製造システム
455 コントローラ
Claims (21)
- エッチングされる材料層を覆う第1のパターン化層を、基板上で受け取る工程であって、
前記第1のパターン化層は、(i)極端紫外線(EUV)リソグラフィーに露光されるとき、40ナノメートルよりも短い、リソグラフィー解像度を有する物性、及び(ii)前記第1のパターン化層の下にある前記材料層をエッチングするために選択されるエッチングプロセス条件のための、公称エッチング耐性を与える物性を有しているレジスト材で構成されている、工程と、
前記公称エッチング耐性を超えるエッチングプロセス条件の、エッチング耐性を与える物性を有している像反転材が前記第1のパターン化層を埋めて覆うように、前記像反転材を前記第1のパターン化層の上にオーバーコートする工程と、
前記第1のパターン化層の上面を露出するように、前記像反転材の上部を取り除く工程と、
残った前記像反転材が第2のパターン化層となるように、前記第1のパターン化層を取り除く工程と、を有しており、
前記第1のパターン化層は、1.5:1よりも小さい高さ対幅の比率を持つことを特徴とする、
方法。 - 前記第2のパターン化層のパターンを前記材料層へ転写する工程をさらに有する、
請求項1に記載の方法。 - 第2の像反転材がパターン化された材料層を埋めて覆うように、前記第2の像反転材を、前記パターン化された材料層の上にオーバーコートする工程と、
前記パターン化された材料層の上面を露出するように、前記第2の像反転材の上部を取り除く工程と、
残った前記第2の像反転材が第3のパターン化層となるように、前記パターン化された材料層を取り除く工程と、をさらに有する、
請求項2に記載の方法。 - 公称エッチング耐性を超えるエッチングプロセス条件の、エッチング耐性を与える物性を有している第2の像反転材が、前記第2のパターン化層を埋めて覆うように、前記第2の像反転材を前記第2のパターン化層の上にオーバーコートする工程と、
前記第2のパターン化層の上面を露出するように、前記第2の像反転材の上部を取り除く工程と、
残った前記第2の像反転材が第3のパターン化層となるように、前記第2のパターン化層を取り除く工程と、をさらに有する、
請求項1に記載の方法。 - 前記第3のパターン化層のパターンを材料層へ転写する工程をさらに有する、
請求項4に記載の方法。 - 前記第1のパターン化層は、高速レジスト、極端紫外線(EUV)レジストまたは電子ビームレジストを備える、
請求項1に記載の方法。 - 前記EUVレジストは、高速レジストである、
請求項6に記載の方法。 - 前記高速レジストは、ハフニウム酸化物を含有するレジストである、
請求項7に記載の方法。 - 前記第1のパターン化層は、熱の凍結レジスト、電磁凍結レジストまたは化学凍結レジストを備える、
請求項1に記載の方法。 - 前記像反転材は有機材料又は無機材料である、
請求項1に記載の方法。 - 前記像反転材は、金属含有材料、シリコン含有材料又は、シリコン・反射防止コーティング(ARC)材料である、
請求項1に記載の方法。 - 前記像反転材は、硬い架橋材料である、
請求項1に記載の方法。 - 前記第1のパターン化層をオーバーコートする工程は、
エッチバックステップ、または、エッチバックステップの前の停止及び充填を含む、
請求項1に記載の方法。 - 前記像反転材のための反転剤は、残留現像バックの性能を備えるポリマーを含む、
請求項1に記載の方法。 - 前記像反転材のための反転剤は、化学的機械的な平坦化ステップで処理され、又は、そのステップの後のエッチバックプロセス及びドライエッチングプロセスで処理された、酸化フィルムを含む、
請求項1に記載の方法。 - 前記像反転材は、前記像反転材をスリミングし、エッチバックするように、等方性エッチングが実行される、非レジスト材を使用する、
請求項1に記載の方法。 - 前記第1のパターン化層をオーバーコートする工程は、塗膜された酸が上から下まで拡散して、前記第1のパターン化層の溶解性を変化させる、化学的なトリム・オーバーコートを含む、
請求項1に記載の方法。 - 下方に広まった酸がトップダウン可溶性プロファイルを有する像反転材となるように、前記第1のパターン化層がベークされる、
請求項17に記載の方法。 - 前記第1のパターン化層の上にオーバーコートした後でも、前記第1のパターン化層の上面が露出している、
請求項1に記載の方法。 - 前記第1のパターン化層を取り除く工程は、溶剤蒸気プロセス、化学スピンコーティング・プロセス、レジスト現像プロセス、溶剤スピンコーティング・プロセス、ドライエッチングプロセス、又はウエットエッチングプロセスを使用して、実行される、
請求項1に記載の方法。 - エッチングされる材料層を覆う第1のパターン化層を、基板上で受け取る工程であって、
前記第1のパターン化層は、(i)極端紫外線(EUV)リソグラフィーに露光されるとき、40ナノメートルよりも短い、リソグラフィー解像度を有する物性、(ii)前記第1のパターン化層の下にある前記材料層をエッチングするために選択されるエッチングプロセス条件のための、公称エッチング耐性を与える物性を有している、レジスト材料で構成されている、工程と、
公称エッチング耐性を超えるエッチングプロセス条件の、エッチング耐性を与える物性を有している像反転材が前記第1のパターン化層を埋めて覆うように、前記像反転材を前記第1のパターン化層の上にオーバーコートする工程と、
前記第1のパターン化層の上面を露出するように、前記像反転材の上部を取り除く工程と、
残った前記像反転材が第2のパターン化層となるように、前記第1のパターン化層を取り除く工程と、
前記第1のパターン化層を前記像反転材でオーバーコートする工程を含む一つ以上の選択された動作の間、並行してコントロールされる一つ以上の選択された動作の変数を制御する工程と、前記像反転材の上部を取り出す工程と、および/または残った前記像反転材が第2のパターン化層となるように、前記第1のパターン化層を取り除く工程と、を有するパターニングの目的を達成するために、制御を実行する工程と、を有し、
前記第1のパターン化層は、高さ対幅の比率が、1.5対1よりも小さい高さ対幅の比率の比率を持つことを特徴とする、
方法。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201462064636P | 2014-10-16 | 2014-10-16 | |
US62/064,636 | 2014-10-16 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2016081065A true JP2016081065A (ja) | 2016-05-16 |
JP6379080B2 JP6379080B2 (ja) | 2018-08-22 |
Family
ID=54364973
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2015203573A Active JP6379080B2 (ja) | 2014-10-16 | 2015-10-15 | Euvレジストエッチング耐久性を向上しパターン崩壊の軽減するパターン化の方法。 |
Country Status (5)
Country | Link |
---|---|
US (1) | US9791779B2 (ja) |
EP (1) | EP3010033B1 (ja) |
JP (1) | JP6379080B2 (ja) |
KR (1) | KR101789381B1 (ja) |
TW (1) | TWI582830B (ja) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2016213444A (ja) * | 2015-04-10 | 2016-12-15 | 東京エレクトロン株式会社 | イメージ反転、誘導自己組織化、および選択的堆積を補助するための、サブ解像度開口部の使用 |
JP2018146760A (ja) * | 2017-03-06 | 2018-09-20 | Hoya株式会社 | 転写用マスクの製造方法、および半導体デバイスの製造方法 |
JP2020178022A (ja) * | 2019-04-17 | 2020-10-29 | 東京エレクトロン株式会社 | パターン形成方法及びパターン形成システム |
Families Citing this family (17)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
JP6236481B2 (ja) * | 2016-02-17 | 2017-11-22 | 東京エレクトロン株式会社 | パターン形成方法 |
US10629435B2 (en) | 2016-07-29 | 2020-04-21 | Lam Research Corporation | Doped ALD films for semiconductor patterning applications |
WO2018048925A1 (en) * | 2016-09-06 | 2018-03-15 | Tokyo Electron Limited | Method of quasi atomic layer etching |
US10832908B2 (en) * | 2016-11-11 | 2020-11-10 | Lam Research Corporation | Self-aligned multi-patterning process flow with ALD gapfill spacer mask |
US11608321B2 (en) * | 2017-06-23 | 2023-03-21 | Universal Display Corporation | Organic electroluminescent materials and devices |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
US10347486B1 (en) | 2017-12-19 | 2019-07-09 | International Business Machines Corporation | Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (EUV) lithography |
US10656527B2 (en) * | 2017-12-21 | 2020-05-19 | International Business Machines Corporation | Patterning material film stack with hard mask layer configured to support selective deposition on patterned resist layer |
CN112005343A (zh) | 2018-03-02 | 2020-11-27 | 朗姆研究公司 | 使用水解的选择性沉积 |
US10643846B2 (en) | 2018-06-28 | 2020-05-05 | Lam Research Corporation | Selective growth of metal-containing hardmask thin films |
US10615037B2 (en) | 2018-08-17 | 2020-04-07 | International Business Machines Corporation | Tone reversal during EUV pattern transfer using surface active layer assisted selective deposition |
US11467487B2 (en) * | 2019-01-03 | 2022-10-11 | Boe Technology Group Co., Ltd. | Method for manufacturing template |
US11501969B2 (en) * | 2019-01-22 | 2022-11-15 | International Business Machines Corporation | Direct extreme ultraviolet lithography on hard mask with reverse tone |
CN111552090A (zh) * | 2019-02-12 | 2020-08-18 | 世界先进积体电路股份有限公司 | 半导体装置 |
US11335717B2 (en) | 2019-03-22 | 2022-05-17 | Vanguard International Semiconductor Corporation | Semiconductor device including light-collimating layer |
KR20240056508A (ko) * | 2021-08-25 | 2024-04-30 | 제미나티오, 인코포레이티드 | 내로우 라인 컷 마스킹 프로세스 |
Citations (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH11168041A (ja) * | 1997-12-04 | 1999-06-22 | Tokyo Electron Ltd | レジスト膜の形成方法 |
JP2006337996A (ja) * | 2005-05-04 | 2006-12-14 | Rohm & Haas Electronic Materials Llc | コーティング組成物 |
JP2008066467A (ja) * | 2006-09-06 | 2008-03-21 | Toshiba Corp | パターン形成方法 |
JP2008139827A (ja) * | 2006-11-10 | 2008-06-19 | Shin Etsu Chem Co Ltd | レジスト材料及びこれを用いたパターン形成方法 |
JP2010152299A (ja) * | 2008-11-21 | 2010-07-08 | Shin-Etsu Chemical Co Ltd | パターン形成方法 |
JP2010286618A (ja) * | 2009-06-10 | 2010-12-24 | Tokyo Ohka Kogyo Co Ltd | パターン形成方法 |
JP2011128610A (ja) * | 2009-11-20 | 2011-06-30 | Tokyo Ohka Kogyo Co Ltd | パターン形成方法 |
JP2011253185A (ja) * | 2010-06-01 | 2011-12-15 | Inpria Corp | パターン形成された無機層、放射線によるパターン形成組成物、およびそれに対応する方法 |
JP2012132949A (ja) * | 2010-12-17 | 2012-07-12 | Tokyo Ohka Kogyo Co Ltd | パターン形成方法 |
JP2012185485A (ja) * | 2011-02-15 | 2012-09-27 | Shin Etsu Chem Co Ltd | レジスト材料及びこれを用いたパターン形成方法 |
JP2013137512A (ja) * | 2011-11-29 | 2013-07-11 | Shin Etsu Chem Co Ltd | ケイ素含有レジスト下層膜形成用組成物及びパターン形成方法 |
JP2013218191A (ja) * | 2012-04-11 | 2013-10-24 | Shin Etsu Chem Co Ltd | パターン形成方法 |
WO2014091363A1 (en) * | 2012-12-14 | 2014-06-19 | Basf Se | Use of compositions comprising a surfactant and a hydrophobizer for avoiding anti pattern collapse when treating patterned materials with line-space dimensions of 50 nm or below |
JP2014157301A (ja) * | 2013-02-18 | 2014-08-28 | Shin Etsu Chem Co Ltd | パターン形成方法 |
Family Cites Families (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7371509B2 (en) | 2004-05-07 | 2008-05-13 | Micron Technology, Inc. | Resist pattern and reflow technology |
US7767570B2 (en) | 2006-03-22 | 2010-08-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Dummy vias for damascene process |
JP5011345B2 (ja) | 2009-05-15 | 2012-08-29 | 東京エレクトロン株式会社 | レジストパターンのスリミング処理方法 |
TWI541609B (zh) | 2011-02-17 | 2016-07-11 | 富士軟片股份有限公司 | 填隙組成物、填隙方法以及使用該組成物製造半導體元件的方法 |
JP2016539361A (ja) | 2013-11-08 | 2016-12-15 | 東京エレクトロン株式会社 | Euvリソグラフィを加速するためのポスト処理メソッドを使用する方法 |
JP2017521715A (ja) | 2014-07-08 | 2017-08-03 | 東京エレクトロン株式会社 | ネガティブトーン現像剤相溶性フォトレジスト組成物及び使用方法 |
-
2015
- 2015-10-14 US US14/882,626 patent/US9791779B2/en active Active
- 2015-10-15 JP JP2015203573A patent/JP6379080B2/ja active Active
- 2015-10-16 TW TW104133957A patent/TWI582830B/zh active
- 2015-10-16 EP EP15190137.8A patent/EP3010033B1/en active Active
- 2015-10-16 KR KR1020150144798A patent/KR101789381B1/ko active IP Right Grant
Patent Citations (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH11168041A (ja) * | 1997-12-04 | 1999-06-22 | Tokyo Electron Ltd | レジスト膜の形成方法 |
JP2006337996A (ja) * | 2005-05-04 | 2006-12-14 | Rohm & Haas Electronic Materials Llc | コーティング組成物 |
JP2008066467A (ja) * | 2006-09-06 | 2008-03-21 | Toshiba Corp | パターン形成方法 |
JP2008139827A (ja) * | 2006-11-10 | 2008-06-19 | Shin Etsu Chem Co Ltd | レジスト材料及びこれを用いたパターン形成方法 |
JP2010152299A (ja) * | 2008-11-21 | 2010-07-08 | Shin-Etsu Chemical Co Ltd | パターン形成方法 |
JP2010286618A (ja) * | 2009-06-10 | 2010-12-24 | Tokyo Ohka Kogyo Co Ltd | パターン形成方法 |
JP2011128610A (ja) * | 2009-11-20 | 2011-06-30 | Tokyo Ohka Kogyo Co Ltd | パターン形成方法 |
JP2011253185A (ja) * | 2010-06-01 | 2011-12-15 | Inpria Corp | パターン形成された無機層、放射線によるパターン形成組成物、およびそれに対応する方法 |
JP2012132949A (ja) * | 2010-12-17 | 2012-07-12 | Tokyo Ohka Kogyo Co Ltd | パターン形成方法 |
JP2012185485A (ja) * | 2011-02-15 | 2012-09-27 | Shin Etsu Chem Co Ltd | レジスト材料及びこれを用いたパターン形成方法 |
JP2013137512A (ja) * | 2011-11-29 | 2013-07-11 | Shin Etsu Chem Co Ltd | ケイ素含有レジスト下層膜形成用組成物及びパターン形成方法 |
JP2013218191A (ja) * | 2012-04-11 | 2013-10-24 | Shin Etsu Chem Co Ltd | パターン形成方法 |
WO2014091363A1 (en) * | 2012-12-14 | 2014-06-19 | Basf Se | Use of compositions comprising a surfactant and a hydrophobizer for avoiding anti pattern collapse when treating patterned materials with line-space dimensions of 50 nm or below |
JP2014157301A (ja) * | 2013-02-18 | 2014-08-28 | Shin Etsu Chem Co Ltd | パターン形成方法 |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2016213444A (ja) * | 2015-04-10 | 2016-12-15 | 東京エレクトロン株式会社 | イメージ反転、誘導自己組織化、および選択的堆積を補助するための、サブ解像度開口部の使用 |
JP2018146760A (ja) * | 2017-03-06 | 2018-09-20 | Hoya株式会社 | 転写用マスクの製造方法、および半導体デバイスの製造方法 |
JP2020178022A (ja) * | 2019-04-17 | 2020-10-29 | 東京エレクトロン株式会社 | パターン形成方法及びパターン形成システム |
JP7203677B2 (ja) | 2019-04-17 | 2023-01-13 | 東京エレクトロン株式会社 | パターン形成方法及びパターン形成システム |
Also Published As
Publication number | Publication date |
---|---|
JP6379080B2 (ja) | 2018-08-22 |
EP3010033B1 (en) | 2018-08-29 |
KR20160045036A (ko) | 2016-04-26 |
US20160109804A1 (en) | 2016-04-21 |
KR101789381B1 (ko) | 2017-11-15 |
EP3010033A1 (en) | 2016-04-20 |
US9791779B2 (en) | 2017-10-17 |
TW201626438A (zh) | 2016-07-16 |
TWI582830B (zh) | 2017-05-11 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP6379080B2 (ja) | Euvレジストエッチング耐久性を向上しパターン崩壊の軽減するパターン化の方法。 | |
KR102310834B1 (ko) | 그래프팅 중합체 물질의 사용으로 기판의 패턴화 | |
US9653319B2 (en) | Method for using post-processing methods for accelerating EUV lithography | |
US8530147B2 (en) | Patterning process | |
TWI662369B (zh) | 半導體元件的形成方法 | |
TWI585822B (zh) | 基板上之接觸窗開口的圖案化方法 | |
US9263297B2 (en) | Method for self-aligned double patterning without atomic layer deposition | |
US9646845B2 (en) | Method of forming a mask for substrate patterning | |
US9378974B2 (en) | Method for chemical polishing and planarization | |
US9633847B2 (en) | Using sub-resolution openings to aid in image reversal, directed self-assembly, and selective deposition | |
JP2010080903A (ja) | パターン形成方法、半導体装置の製造方法及び半導体装置の製造装置 | |
Hu | Photolithography technology in electronic fabrication | |
TWI515768B (zh) | 微影圖案化方法及雙重圖案化方法 | |
JP2009139695A (ja) | 半導体装置の製造方法 | |
KR20130108300A (ko) | 스핀-온 층에 고종횡비 패터닝을 하는 방법 | |
JP2015528644A (ja) | Euvレジスト感度の減少 | |
US20220388232A1 (en) | Method for removing material overburden via enhanced freeze-less anti-spacer formation using a bilayer system | |
TW202427065A (zh) | 半導體工件圖案化 | |
KR20080092154A (ko) | 반도체 소자의 미세 패턴 형성방법 | |
US8507190B2 (en) | Method for preparing alignment mark for multiple patterning | |
Osborn et al. | A modified bilayer resist approach for 45 nm flash lithography |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20160927 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20161004 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20161202 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20170126 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20170418 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20170614 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20170817 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20180123 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20180320 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20180710 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20180730 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 6379080 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |