KR101789381B1 - Euⅴ 레지스트 에칭 내구성 향상 및 패턴 붕괴 완화 - Google Patents

Euⅴ 레지스트 에칭 내구성 향상 및 패턴 붕괴 완화 Download PDF

Info

Publication number
KR101789381B1
KR101789381B1 KR1020150144798A KR20150144798A KR101789381B1 KR 101789381 B1 KR101789381 B1 KR 101789381B1 KR 1020150144798 A KR1020150144798 A KR 1020150144798A KR 20150144798 A KR20150144798 A KR 20150144798A KR 101789381 B1 KR101789381 B1 KR 101789381B1
Authority
KR
South Korea
Prior art keywords
patterned layer
image reversal
layer
patterned
reversal material
Prior art date
Application number
KR1020150144798A
Other languages
English (en)
Other versions
KR20160045036A (ko
Inventor
리어 후리
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20160045036A publication Critical patent/KR20160045036A/ko
Application granted granted Critical
Publication of KR101789381B1 publication Critical patent/KR101789381B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

기판을 패터닝하는 방법이 기재된다. 패터닝 방법은 기판 상에 에칭될 재료층 위에 놓인 제1 패턴화층을 받아들이는 단계를 포함하고, 여기서 상기 제1 패턴화층은 (i) 극자외선 방사 리소그래피에 노출될 때 약 40 나노미터보다 작은 리소그래픽 해상도를 제공하는 재료 특성과, (ii) 상기 제1 패턴화층 아래에 놓인 재료를 에칭하기 위해 선택되는 에칭 공정 조건에 대한 공칭 내식각도를 제공하는 재료 특성을 갖는 레지스트 재료로 이루어진다. 이미지 반전 재료가 상기 제1 패턴화층을 채우고 덮도록, 이미지 반전 재료로 상기 제1 패턴화층이 오버 코팅된다. 상기 제1 패턴화층의 최상면이 노출되도록 상기 이미지 반전 재료의 상부를 제거하는 단계와, 상기 이미지 반전 재료가 남아서 제2 패턴화층이 되도록 상기 제1 패턴화층을 제거하는 단계를 더 포함한다.

Description

EUⅤ 레지스트 에칭 내구성 향상 및 패턴 붕괴 완화{EUV RESIST ETCH DURABILITY IMPROVEMENT AND PATTERN COLLAPSE MITIGATION}
미국 특허법 37 C.F.R.§1.78(a)(4)에 따라, 본 출원은 2014년 10월 16일에 선출원된 공동 계류 중인 미국 가출원 번호 제62/064636호를 기초로 우선권을 주장하고, 그 전체가 본 명세서에 포함된다.
본 발명은 기판 상에 박막을 패터닝하는 방법에 관한 것이다.
물질 처리 방법들에서, 패턴 에칭(pattern etching)은 포토레지스트(photo-resist)와 같은 방사 감응 재료층(layer of radiation-sensitive material)을 기판의 최상면에 도포하는 것, 포토리소그래피(photo-lithography)를 사용하여 방사 감응 재료층에 패턴을 형성하는 것, 및 방사 감응 재료층에 형성된 패턴을 에칭 공정을 사용하여 기판 상의 하부 박막에 전사(transfer)하는 것을 포함한다. 일반적으로 방사 감응 재료를 패터닝하는 것은, 예를 들어, 포토리소그래피 시스템을 사용하여 방사 감응 재료를 EM 방사(electromagnetic radiation: 전자기 방사) 패턴에 노출시키고, 이어서 방사 감응 재료의 조사된 영역들[포지티브 톤 레지스트(positive tone resist)의 경우] 또는 비조사된 영역들[네거티브 톤 레지스트(negative tone resist)의 경우]을 현상액(developing solution)을 사용하여 제거하는 것을 포함한다.
최근에는, EUV(extreme ultraviolet: 극자외선) 리소그래피가 비용 친화적인 공정을 이용한 차세대 장치를 실현하기 위한 후보이다. 서브 22nm 하프-피치(half-pitch) 패턴에서 제어를 곤란하게 하는 두 가지의 중요한 문제는, 패턴 붕괴(pattern collapse)와, 에칭 공정을 사용하여 레지스트 피처 패턴(resist feature pattern)이 하부층에 전사되도록 충분한 내식각도(etch resistance) 및 두께를 갖는 EUV 레지스트층을 제공하는 능력이다.
추가적인 스케일링으로 인한 종횡비(aspect ratio)의 증가는 패턴 붕괴의 시작을 촉진한다. 종횡비는 피처 패턴의 임계치수(CD: critical dimension) 또는 폭에 대한 피처 패턴의 높이와 관련된다.
패턴 붕괴를 극복하기 위한 하나의 해결책은, 레지스트의 두께를 감소시켜 낮은 종횡비를 달성하는 것이다. 낮은 종횡비를 달성하기 위해 레지스트 두께를 감소시키는 것은, EUV 레지스트의 상대적으로 낮은 내식각도로 인해, 에칭 공정으로 레지스트 피처 패턴을 하부층에 완전히 전사하는 능력을 제한한다. 따라서 EUV 포토 리소그래피의 한계를 극복하고, 후속 에칭 단계들을 위한 충분한 내식각도를 갖는 비붕괴(non-collapsible) 서브-22nm 하프-피치 패턴을 달성하기 위해, 다른 해결책들이 필요하다.
본 발명은 기판 상에 박막을 패터닝하는 방법에 관한 것이다. 또한, 본 발명은 EUV 레지스트의 내식각도를 개선하고, EUV 레지스트 패턴의 패턴 붕괴를 완화하는 것에 관한 것이다.
일 실시예에 따라, 기판을 패터닝하는 방법이 기재된다. 패터닝 방법은 기판 상에 에칭될 재료층 위에 놓인 제1 패턴화층(patterned layer)을 받아들이는 단계를 포함하고, 여기서 상기 제1 패턴화층은 (i) 극자외선(EUV) 방사 리소그래피에 노광될 때 약 40 나노미터보다 작은 리소그래픽 해상도(lithographic resolution)를 제공하는 재료 특성과, (ii) 상기 제1 패턴화층 아래에 놓인 재료를 에칭하기 위해 선택되는 에칭 공정 조건에 대한 공칭 내식각도(nominal etch resistance)를 제공하는 재료 특성을 갖는 레지스트 재료로 이루어진다. 이미지 반전 재료(image reversal material)가 상기 제1 패턴화층을 채우고 덮도록, 이미지 반전 재료로 상기 제1 패턴화층이 오버 코팅(over-coat)되고, 상기 이미지 반전 재료는 공칭 내식각도를 초과하는 에칭 공정 조건에 대한 내식각도를 제공하는 재료 특성을 가진다. 상기 패터닝 방법은 상기 제1 패턴화층의 최상면이 노출되도록 상기 이미지 반전 재료의 상부를 제거하는 단계와, 상기 이미지 반전 재료가 남아서 제2 패턴화층이 되도록 상기 제1 패턴화층을 제거하는 단계를 더 포함한다.
도 1a 내지 도 1g는 일 실시예에 따라 기판을 패터닝하는 방법의 단순화된 개략도를 나타낸다.
도 2a 내지 2f는 또 다른 실시예에 따라 기판을 패터닝하는 방법의 단순화된 개략도를 나타낸다.
도 3은 일 실시예에 따라 기판을 패터닝하는 방법을 설명하는 흐름도를 나타낸다.
도 4는 일 실시예에 따라 기판의 패터닝을 제어하기 위한 제조 시스템의 예시적인 시스템 차트를 나타낸다.
기판을 패터닝하는 방법이 다양한 실시예들로 개시되어 있다. 그러나 관련 기술 분야의 통상의 기술자라면, 다양한 실시예들이 하나 이상의 구체적인 세부사항들 없이, 또는 다른 대체 및/또는 부가 방법들, 재료들, 또는 구성요소들로 실시될 수 있음을 알 수 있을 것이다. 본 발명의 다양한 실시예들의 양상들을 이해하기 어렵게 되는 것을 피하기 위해, 공지된 구조들, 재료들, 또는 동작들을 다른 경우들에서는 나타내지 않거나 상세히 기술하지 않았다.
마찬가지로, 설명의 목적으로, 구체적인 숫자들, 재료들 및 구성들이 본 발명의 완전한 이해를 제공하기 위해 서술되어 있다. 그럼에도 불구하고, 본 발명은 구체적인 세부사항들 없이 실시될 수도 있다. 또한, 도면들에 도시된 다양한 실시예들은 예시적인 표현들이고 반드시 스케일대로 그려진 것은 아님을 알 수 있을 것이다.
본 명세서 전체에 걸쳐 "하나의 실시예" 또는 "일 실시예" 또는 그 변형예라고 언급하는 것은, 그 실시예와 관련하여 서술된 특별한 특징, 구조, 재료 또는 특성이 본 발명의 적어도 하나의 실시예에 포함된다는 것을 의미하지만, 그것들이 모든 실시예에 존재한다는 것을 나타내지는 않는다. 따라서 본 명세서 도처의 여러 곳에서 "하나의 실시예에서" 또는 "일 실시예에서"와 같은 문구들의 출현하는 것이, 반드시 본 발명의 동일한 실시예를 말하는 것은 아니다. 또한, 특별한 피처들, 구조들, 재료들, 또는 특성들은 하나 이상의 실시예들에서 어떤 적당한 방식으로 결합될 수 있다.
그럼에도 불구하고, 일반적인 개념의 발명의 속성이 설명되어도, 발명의 속성을 역시 가지는 특징들이 본 설명 내에 포함된다는 것을 알아야 한다.
본 명세서에서 사용되는 "기판"은 일반적으로 본 발명의 실시예들에 따라 처리될 대상물을 말한다. 기판은 디바이스, 특히 반도체 또는 기타 전자 디바이스의 어떤 재료 부분 또는 구조물을 포함할 수 있는데, 예를 들어, 반도체 웨이퍼나, 박막과 같은 반전시키기스 기판 구조물 위 또는 위에 놓인 층과 같은, 반전시키기스 기판 구조물일 수 있다. 따라서 어떤 특정의 반전시키기스 구조물, 하부층(underlying layer) 또는 상부층(overlying layer), 패턴화된 것 또는 패턴화되지 않은 것으로 기판을 제한하고자 하는 것이 아니며, 오히려 그러한 층 또는 반전시키기스 구조물과, 층들 및/또는 반전시키기스 구조물들의 어떤 조합을 포함하는 것으로 고려된다. 이하의 설명은 특별한 유형의 기판들을 말하는 것일 수 있지만, 이것은 설명을 위한 것일 뿐 제한을 위한 것이 아니다.
일 실시예에 따라, 후속 에칭 전사 공정들(etch transfer processes)을 위한 충분한 내식각도를 갖는 낮은 종횡비 피처 패턴들이 가능하게 하는 경로(pathway)를 제공하는 패터닝 방법이 기재된다. 상기 방법은 원래의 레지스트 피처를 에칭 공정 전에 새로운 고 내식각막(high etch resistance film)으로 대체하는 중간 재료(intermediate material)를 사용한다. 도 3과 함께 도 1 및 2는, 내식각도를 향상시키고 패턴 붕괴 확률을 감소시키기 위해, 패턴을 한 번 이상 반전시키는 예시적인 공정 시퀀스를 나타낸다. 패턴을 반전시키기 위해 사용되는 재료는 선택적으로 하부층을 제거하는 에칭 공정에 대한 증가된 내식각도를 갖는다. 따라서 피처 CD는 완전하게 하부층에 전사될 수 있다. 본 명세서에 기재된 박막을 패터닝하는 다양한 실시예들은, 높은 종횡비에서 붕괴 효과를 극복하기 위해 필요한 레지스트 두께를 낮춤으로써 디바이스의 추가적인 축소를 가능하게 한다. 기술들로는 반전 물질 및/또는 반전 기술들의 사용뿐만 아니라 유한 확산 에치-백(diffusion-limited etch-back) 및 슬림화 기술들(slimming techniques)의 사용이 포함된다.
도면을 참조하면, 동일한 참조 번호는 몇몇 도면에서 동일하거나 대응하는 부분을 지칭하며, 도 1a 내지 1g, 및 도 3은 실시예에 따라 기판을 패터닝하는 방법을 나타낸다. 상기 방법은 흐름도(300)에 나타나 있고, 기판(110) 상에서 에칭될 재료층(130) 위에 놓인 제1 패턴화층(patterned layer)(140)을 받아들이는 310에서 시작된다. 기판(110)은 제2 재료층(120)과 같은 부가층들(additional layers)을 포함할 수 있다. 제1 패턴화층(140)은, (i) 극자외선(EUV) 방사 리소그래피에 노출될 때 약 40 나노미터보다 작은 리소그래픽 해상도(lithographic resolution)를 제공하는 재료 특성과, (ii) 제1 패턴화층(140) 아래에 놓인 재료를 에칭하기 위해 선택되는 에칭 공정 조건에 대한 공칭 내식각도(nominal etch resistance)를 제공하는 재료 특성을 갖는 레지스트 재료로 이루어진다. 사양을 단순화하기 위해, 동일한 참조 번호의 기재는 몇몇 도면에서 동일하거나 대응하는 부분을 지칭하는데, 예를 들어, 재료층은 도 1a 내지 1g와 같은 몇몇 도면들에서 공통이며, 반복하지 않을 것이다.
제1 패턴화층(140)은 EUV 레지스트와 같은 포토레지스트를 포함할 수 있다. 예를 들어, 제1 패턴화층(140)이 약 10 밀리주울(millijoules)의 극자외선 방사보다 적게 노출된 후에 완전히 현상될 수 있다는 점에서, 제1 패턴화층(140)은 고속 레지스트로 될 수 있다. 그러나 10 밀리주울을 넘는 에너지 레벨이 허용될 수 있다. 고속 레지스트는 종래의 포토레지스트보다 5-10배 더 빠른 개발 속도를 낼 수 있다. 예시적인 고속 레지스트는 하프늄 산화물 함유 포토레지스트(hafnium oxide-containing photoresist)[매립 또는 현탁 나노입자 함유 레지스트(a resist containing embedded or suspended nanoparticles)]이다. 다른 실시예에서, 제1 패턴화층(140)은 248nm 레지스트, 193nm 레지스트, 157nm 레지스트, EUV(극자외선) 레지스트, 또는 전자 빔 감응 레지스트(electron beam sensitive matrix)를 포함한다. 또한, 예를 들어, 제1 패턴화층(140)은 열적 동결(thermal freeze) 포토레지스트, 전자기(EM) 방사 동결(electromagnetic radiation freeze) 포토레지스트, 또는 화학적 동결(chemical freeze) 포토레지스트를 포함할 수 있다.
제1 패턴화층(140)은 기판(110) 상에 재료를 스핀-코팅(spin-coating)함으로써 형성될 수 있다. 제1 패턴화층(140)은 트랙(track) 시스템을 사용하여 형성될 수 있다. 예를 들어, 트랙 시스템은 도쿄일렉트론(Tokyo Electron Limited(TEL))에서 시판되는 Clean Track ACTTM8, ACTTM12, LITHIUSTM, LITHIUSTMProTM 또는 LITHIUSTMProVTM 레지스트 코팅 및 현상 시스템을 포함할 수 있다. 기판 상에 포토레지스트 필름을 형성하는 다른 시스템들과 방법들은, 스핀-온 레지스트(spin-on resist) 기술 분야의 통상의 기술자들에게 널리 알려져 있다. 코팅 공정 이후에는 기판(110)을 가열하기 위한, 하나 이상의 제1 도포후 베이킹(post-application bakes: PAB)와, 기판(110)을 냉각하기 위한, 하나 이상의 제1 PAB를 따르는, 하나 이상의 냉각 사이클이 이어서 실행될 수 있다.
제1 패턴화층(140)은 1.5 대 1보다 작은 높이(154) 대 폭(152)의 비율을 갖는 것이 특징인 제1 패턴(150)을 포함한다. 이와 달리, 높이(154) 대 폭(152)의 비율은 1 대 1보다 작거나 동일하다. 종횡비가 약 1.5 대 2를 초과할 경우, 패턴 붕괴 확률이 증가한다.
제1 패턴(150)은 건식 또는 습식 포토-리소그래피 시스템을 포함하는 방사 노광 시스템을 이용하여 형성될 수 있다. 제1 패턴(150)은 적절한 종래의 스테핑(stepping) 리소그래피 시스템 또는 스캐닝(scanning) 리소그래피 시스템을 사용하여 형성될 수 있다. 예를 들어, 포토-리소그래피 시스템은 ASML Netherlands B.V.(De Run 6501, 5504 DR Veldhoven, 네덜란드), 또는 Canon USA, Inc., Semiconductor Equipment Division(3300 North First Street, San Jose, CA 95134)에서 시판될 수 있다. 이와 달리, 제1 패턴(150)은 전자 빔 리소그래피 시스템을 사용하여 형성될 수 있다.
제1 패턴(150)을 완성하기 위해, 제1 패턴화층(140)은 (포지티브-톤 레지스트가 사용되는지 또는 네거티브-톤 레지스트가 사용되는지에 따라) 레지스트층의 노광 또는 비노광 부분을 제거하기 위해 현상 처리(developing process)가 실시되고, 제1 패턴화층(140)에 제1 패턴(150)을 형성한다. 상술한 바와 같이, 제1 패턴(150)은 제1 임계치수(CD) 또는 폭(152) 및 높이(154)가 특징이다. 제1 패턴(150)은 제1 라인 패턴(line pattern)을 포함할 수 있다. 현상 공정은, 트랙 시스템과 같은 현상 시스템에서 현상 용액에 기판을 노출시키는 것을 포함할 수 있다. 예를 들어, 트랙 시스템은 도쿄일렉트론(Tokyo Electron Limited(TEL))에서 시판되는 Clean Track ACTTM8, ACTTM12, LITHIUSTM, LITHIUSTMProTM 또는 LITHIUSTMProVTM 레지스트 코팅 및 현상 시스템을 포함할 수 있다. 현상 공정은 기판(110)을 가열하기 위한 하나 이상의 제1 노광후 베이킹(post-exposure bakes: PEB)와, 기판(110)을 냉각하기 위한, 하나 이상의 제1 PEB를 따르는, 하나 이상의 냉각 사이클에 앞서 실행될 수 있다.
도 3의 314에서, 도 1b에 나타낸 바와 같이, 제1 패턴화층(140)을 갖는 기판(110)은, 이미지 반전 재료(image reversal material)(160)가 제1 패턴화층(140)을 채우고 덮도록, 이미지 반전 재료(160)로 오버 코팅(over-coat) 되며, 여기서 이미지 반전 재료(160)는 공칭 내식각도를 초과하는 에칭 공정 조건에 대한 내식각도를 제공하는 재료 특성을 가진다. 예를 들어, 하부 재료층(130)을 에칭하기 위한 에칭 공정 조건은 CxFy계 화학제(CxFy-based chemistry)를 포함할 수 있다. CxFy계 화학제에 대한 이미지 반전 재료(160)의 내식각도는 공칭 내식각도(또는 에칭 공정 조건에 대한 제1 패턴화층(140)의 내식각도)보다 크다.
이미지 반전 재료(160)는 재료층(130)을 전사하기 위해 높은 내식각도/선택도(etch resistance/selectivity)를 가져야 한다. 이미지 반전 재료(160)는 유기 또는 무기물일 수 있다. 또한, 이미지 반전 재료(160)는 금속 함유 재료(예를 들어, 금속 입자), Si-함유 재료(예를 들어 Si 함유 ARC(anti-reflective coating, SiOx, SiNy, SiOxNy 등), 또는 레지스트 Tg 온도(유리 전이 온도)를 초과하지 않는 베이킹 온도에서 (하드) 가교 결합(cross-linking)(즉, 동결)을 나타내는 재료일 수 있다. 금속류, Si류 또는 가교제(cross-linking agent)가 상기 재료에 증가된 내식각 특성을 줄 수 있다.
이미지 반전 재료(160)는 열경화 동결 레지스트(thermally curable freeze resist), EM 경화 동결 레지스트, 또는 화학적 경화 동결 레지스트를 포함할 수 있다. 이러한 재료들은 열적 처리, 방사적 처리, 또는 화학적 처리될 때 가교 결합을 나타내는 재료를 포함한다. 추가적으로, 화학적 동결 재료는 방사 감응 재료층에서 가교 결합을 일으킬 수 있는 제거 가능한 재료들을 포함할 수 있다. 화학적 동결 재료는 고분자 재료(polymeric material)를 포함할 수 있다. 예를 들어, 이들 재료들은 JSR Micro사(1,280 North Mathilda Avenue, Sunnyvale, CA 94089)에서 시판되는 재료, 예를 들어 FZX F112 동결 물질을 포함하는 재료를 포함할 수 있다. 이와는 달리, 예를 들어, 이들 재료는 Dow Chemical Company(100 Independence Mall West, Philadelphia, PA 19106)의 전액 출자 자회사인 Rohm and Haas에서 시판되는 재료, 예를 들어 SCTM1000 표면 경화제(Surface Curing Agents: SCA)를 포함하는 재료를 포함할 수 있다.
또한, 이미지 반전 재료(160)는 이미지 반전 재료(160)의 상부가 침범될 수 있도록 어느 정도의 용해성을 가질 수 있다. 이러한 오버 코팅 공정은 에치-백(etch-back) 단계나, 중단(stop) 및 충전(fill) 후의 에치-백 단계를 포함할 수 있다. 일부 어플리케이션의 경우, 약간의 잔여 용해도(residual solubility)를 갖는 디벨로프-백(develop-back)을 선택하는 장점 및 단점이 있으며, 이것은 습식 에칭 또는 건식 에칭 공정 중 어느 공정이 나중에 사용되었는지에 의존한다. 반전제(reversal agents)가 잔류 디벨로프-백을 갖는 고분자와 같이, 이미지 반전 재료(160)로 얼마든지 사용될 수 있다. 다른 실시예에서는, 산화막이 사용될 수 있어서, 화학적-기계적 연마(chemical-mechanical planarization: CMP) 또는 건식 에칭을 이용한 에치-백이 이어서 실행된다.
또한, 상기 이미지 반전 재료(160)는 고유의 용해성(degree of solubility)을 가지며, 습식 슬리밍(wet slimming)에 응답할 수 있도록 포토레지스트와 유사하게 동작하도록 선택될 수 있다. 다른 실시예들에서는 레지스트처럼 동작하지 않는 재료를 사용할 수 있으며, 이러한 실시예들에서 등방성 에칭(isotropic etch)이 슬림 및/또는 에치-백으로 실행될 수 있다. 따라서 광산 확산(photo acid diffusion)을 통해 이동되는 용해도를 갖는 반전 재료의 선택에 대응하여, 습식 슬리밍(wet slimming)이 사용될 수 있다. 광산(SiARC 반전 또는 현상 가능한 SiARC 재료)에 감응하지 않는 반전 재료를 사용하는 것에 대응하여, 건식 에칭의 형태가 사용될 수 있다.
도 3의 316에서, 도 1c에 나타낸 바와 같이, 이미지 반전 재료(160)의 상부는 제1 패턴화층(140)의 최상면(142)이 노출되도록 제거된다. 다른 실시예들에서, 이미지 반전 재료(160)는 최상면(142)이 오버-코팅된 후 노출되어 남도록 도포된다. 상술한 바와 같이, 제거 공정은 CMP, 수직 슬리밍(vertical slimming) 공정을 포함하는, CMP, 건식 또는 습식 에칭을 포함 할 수 있다.
일 실시예에서, 본 기술들에 의해, 기판(110)이 제거/에치-백 공정용 코팅기/현상기 시스템(coater/developer system)에 남아있을 수 있다. 일 실시예에서, 이미지 반전 재료(160)의 확산 제한 산 다운 트림(diffusion-limited acid down trim)이 실행될 수 있다. 화학적 트림 오버 코팅이, 적용된 산이 위(적용된 곳)에서 아래로 필름에 확산되고 용해도를 변경시키는 하나의 예가 된다. 이미지 반전 재료(160) 상부의 용해성이 이렇게 변화한 후, 기판 (110)은 다시 코팅기/현상기에 의해 처리될 수 있고, 습식 에칭으로 완료될 수 있다.
여기에 개시된 바와 같은 하나의 기술은, 하향식 산 트림 백(top-down acid trim back)을 위해 산으로 스택(stack)을 코팅(또는 오버 코팅)하는 것이다. 하향식 산 트림 백은 상부에 산화제로 기판 스택을 코팅하는 것을 포함한다. 그런 다음, 스택은 산이 아래쪽으로 필름에 확산되도록, 즉 이미지 반전 재료(160)의 상부로 확산되도록 베이킹(bake) 된다. 그 결과가 하향식 용해도 프로파일(top-down solubility profile)을 받아들이는 이미지 반전 재료(160)이다. 이제 이미지 반전 재료(160)의 상부는 수용성이 되고, 필름은 이미지 반전 재료(160)의 상부를 제거하도록 세척 및 현상될 수 있다. 몇몇 파라미터는 산 확산의 양 또는 깊이를 제어하도록 조정될 수 있다. 예를 들어, 베이킹 시간, 오버 코팅 농도, 광산의 분자량, 베이킹 온도, 첨가된 산을 구동하는 추가적인 오버 코팅 노출, 산기(acid group)의 종류 등이다.
하향식 트림 백 이후에, 이미지 반전 재료(160)는 베이킹로 세팅되거나 동결된다. 그 다음에, 도 3의 319에서, 도 1d에 나타낸 바와 같이, 제1 패턴화층(140)은 이미지 반전 재료(160)가 남아서 제2 패턴화층이 되도록 제거될 수 있다. 제1 패턴화층(140)의 제거는 용매 기상 공정(solvent vapor process), 화학적 스핀 코팅 공정, 레지스트 현상 공정, 용매 스핀 코팅(solvent spin coating) 공정, 건식 또는 습식 에칭 공정 등으로 실행될 수 있다. 제1 패턴화층(140)의 제거 결과가, 반전된 제2 패턴을 갖는 제2 패턴화층(170)이다.
도 1e에 나타낸 바와 같이, 에칭 공정 조건에 따른 에칭 공정을 사용하여 재료층(130)에 제2 패턴화층(170)의 패턴을 전사하여 재료층 패턴(135)을 형성한다. 에칭 공정은 건식 또는 습식 에칭 공정일 수 있다. 에칭 공정은 플라즈마 또는 비플라즈마 에칭 공정일 수 있다. 에칭 공정 조건은, 제2 패턴화층(170)을 포함하는, 다른 재료들을 에칭하는 속도보다 더 큰 속도로 하부 재료층(130)을 선택적으로 에칭하도록 선택된다.
제2 패턴을 제1 패턴으로 반전시키기 위해, 패턴화된 재료층(130)은, 제2 이미지 반전 재료(180)가 패턴화된 재료층(130)을 채우고 덮도록, 제2 이미지 반전 재료(180)로 오버 코팅된다. 앞서 언급한 바와 같이, 제2 이미지 반전 재료(180)는 공칭 내식각도를 초과하는 에칭 공정 조건에 대한 내식각도를 제공하는 재료 특성을 가질 수 있다. 도 1f에 나타낸 바와 같이, 제2 이미지 반전 재료(180)의 상부는 패턴화된 재료층(130)의 최상면이 노출되도록 제거된다.
도 1g에 나타낸 바와 같이, 패턴화된 재료층(130)은 제2 이미지 반전 재료(180)가 남아서 제3 패턴층(185)이 되도록 제거된다.
다른 실시예에 따라, 도 2a 내지 도 2e는 기판을 패터닝하는 방법을 나타낸다. 상기 방법은 기판 상에 에칭될 재료층(230) 위에 놓인 제1 패턴화층(240)을 받아들이는 것을 포함한다. 기판(210)은 하부 재료층(230)과 제2 재료층(220)과 같은 부가층들을 포함할 수 있다. 제1 패턴화층(240)은 (i) 극자외선(EUV) 방사 리소그래피에 노출될 때 약 40 나노미터보다 작은 리소그래픽 해상도를 제공하는 재료 특성과, (ii) 제1 패턴화층(140) 아래에 놓인 재료를 에칭하기 위해 선택되는 에칭 공정 조건에 대한 공칭 내식각도를 제공하는 재료 특성을 갖는 레지스트 재료로 이루어진다. 상술한 바와 같이, 사양을 단순화하기 위해, 동일한 참조 번호의 기재는 몇몇 도면에서 동일하거나 대응하는 부분을 지칭하는데, 예를 들어, 재료층은 도 2a 내지 2g와 같은 몇몇 도면들에서 공통이며, 반복하지 않을 것이다.
제1 패턴화층(240)은 1.5 대 1보다 작은 높이(254) 대 폭(252)의 비율을 갖는 것이 특징인 제1 패턴(250)을 포함한다. 이와 달리, 높이(154) 대 폭(152)의 비율은 1 대 1보다 작거나 동일하다. 종횡비가 약 1.5 대 2를 초과할 경우, 패턴 붕괴 확률이 증가한다.
도 2c에 나타낸 바와 같이, 제1 패턴화층(240)을 갖는 기판(110)은, 이미지 반전 재료(260)가 제1 패턴화층(240)을 채우고 덮도록, 이미지 반전 재료(260)로 오버 코팅되며, 여기서 이미지 반전 재료(260)는 공칭 내식각도를 초과하는 에칭 공정 조건에 대한 내식각도를 제공하는 재료 특성을 가진다.
도 2c에 나타낸 바와 같이, 이미지 반전 재료(260)의 상부는 제1 패턴화층(240)의 최상면(242)이 노출되도록 제거된다. 다른 실시예들에서, 이미지 반전 재료(260)는 최상면(242)이 오버-코팅된 후 노출되어 남도록 도포된다. 상술한 바와 같이, 제거 공정은 CMP, 수직 슬리밍 공정을 포함하는, CMP, 건식 또는 습식 에칭을 포함할 수 있다. 그 다음에, 제1 패턴화층(240)은 이미지 반전 재료(260)가 남아서 제2 패턴화층(270)이 되도록 제거될 수 있다. 제1 패턴화층(140)의 제거 결과가, 반전된 제2 패턴을 갖는 제2 패턴화층(270)이다.
도 2d에 나타낸 바와 같이 제2 패턴화층(270)은, 제2 이미지 반전 재료(280)가 제2 패턴화층(270)을 채우고 덮도록, 제2 이미지 반전 재료층(280)으로 오버 코팅된다. 제2 이미지 반전 재료(280)는 공칭 내식각도를 초과하는 에칭 공정 조건에 대한 내식각도를 제공하는 재료 특성을 가진다.
도 2e에 나타낸 바와 같이, 제2 이미지 반전 재료(280)의 상부는 제2 패턴화층(270)의 최상면이 노출되도록 제거된다. 이후, 도 2f에 나타낸 바와 같이, 제2 패턴화층(270)은 제2 이미지 반전 재료(280)가 남아서 제3 패턴층(285)이 되도록 제거된다. 이후, 제2 패턴화층(285)의 패턴은 에칭 공정 조건을 사용하는 하부 재료층(230)으로 전사될 수 있다.
도 4는 일 실시예에 따른 기판의 패터닝을 제어하기 위한 제조 시스템(400)의 예시적인 시스템 차트(400)를 나타낸다. 패터닝 시스템(404)은 제어기(455)와 결합되고 제조 시스템(408)에 결합된다. 제어기(455)는 마이크로프로세서, 메모리와, 통신하기에 충분한 제어 전압을 발생시킬 수 있고, 패터닝 시스템(404) 및 제조 시스템(408)으로의 입력들뿐만 아니라 제조시스템으로부터의 모니터 출력들과 통신하고 활성화하기에 충분한 제어 전압을 발생시킬 수 있는 디지털 I/O 포트를 포함할 수 있다. 또한, 제어기(455)는 패터닝 시스템(404)과 제조 시스템(408)의 서브시스템들과 결합되어 정보를 교환할 수 있다. 예를 들어, 메모리에 저장된 프로그램은, 기판 상에 패터닝 처리를 수행하기 위해 공정 레시피(process recipe)에 따라, 상술한 제조 시스템(408)의 서브시스템들 및 패터닝 시스템(404)으로의 입력들을 활성화하기 위해 사용될 될 수 있다.
이 어플리케이션에 따라, 센서 또는 계측 장치와 같은 부가적인 장치가 패터닝 시스템(404) 및 제조 시스템(408)에 결합될 수 있고, 제어기(455)는 실시간 데이터를 수집하고, 패터닝 목적을 달성하기 위한 용해도, 산 확산 깊이, 종횡비, 화학적 에칭 플로 레이트(etch chemical flow rate), 화학적 에칭 온도, 화학적 에칭 부분압 등을 포함하는 2개 이상의 단계에서 하나 이상의 선택된 동작 변수를 동시에 제어하기 위해, 이러한 실시간 데이터를 이용할 수 있다. 특히, 패터닝 시스템 (404)에 결합된 제어기(455)는, 저장 장치, 메모리에 저장된 명령에 근거하거나, 센서 또는 외부 컴퓨터 네트워크에 의해 통신하는 데이터에 근거하여, 동작 시퀀스를 수행하도록 구성될 수 있다.
하나 이상의 센서는 공정의 종료점(endpoint), 오염물의 존재, 언더 혹은 오버 에칭, 또는 용해도, 산 확산의 깊이가 허용 가능한 범위 밖에 있는지를 검출하도록 프로그램될 수 있고, 제어기와 함께 문제를 해결한다. 제조 시스템(408)은 증착(deposition), 습식 또는 건식 에칭, 세정(cleaning), 헹굼(rinsing), 트랙 또는 유체 처리(fluid treatment) 반도체 제조 시스템을 포함할 수 있다. 또한, 제어기는 패터닝 목적을 달성하기 위해 동시에 제어되는 선택된 동작 변수들을 이용하도록 구성될 수 있는데, 패터닝 목적은 소유 비용의 절감, 시간당 처리량의 증가, 입자 오염의 감소, 처리 화학 물질 및 가스의 사용 감소 등을 포함한다.
상기한 바와 같이 본 발명의 특정 실시예들이 상세히 설명되었지만, 본 기술 분야의 통상의 기술자라면 본 발명의 신규한 사상 및 장점을 실질적으로 벗어나지 않으면서 실시예들에서 많은 변형예들이 가능하다는 것을 쉽게 알 수 있을 것이다. 따라서 이러한 변형예들은 모두 본 발명의 범위 내에 포함되는 것으로 본다.

Claims (21)

  1. 기판을 패터닝하는 방법에 있어서,
    기판 상에 에칭될 재료층 위에 놓인 제1 패턴화층을 받아들이는 단계로서, 상기 제1 패턴화층은, (i) 극자외선(EUV) 방사 리소그래피에 노출될 때 40 나노미터보다 작은 리소그래픽 해상도를 제공하는 재료 특성과, (ii) 상기 제1 패턴화층 아래에 놓인 재료를 에칭하기 위해 선택되는 에칭 공정 조건에 대한 공칭 내식각도를 제공하는 재료 특성을 갖는 레지스트 재료로 이루어지고, 상기 제1 패턴화층은 1.5 대 1보다 작은 높이 대 폭의 비율을 갖는 피처들에 의해 특징지어지는 것인, 상기 제1 패턴화층을 받아들이는 단계;
    이미지 반전 재료가 상기 제1 패턴화층을 채우고 상기 제1 패턴화층을 덮도록, 상기 이미지 반전 재료로 상기 제1 패턴화층을 오버 코팅하는 단계로서, 상기 이미지 반전 재료는 상기 공칭 내식각도를 초과하는 에칭 공정 조건에 대한 내식각도를 제공하는 재료 특성을 갖는 것인, 상기 제1 패턴화층을 오버 코팅하는 단계;
    상기 제1 패턴화층의 최상면들이 노출되도록 상기 이미지 반전 재료의 상부를 제거하는 단계;
    상기 이미지 반전 재료가 남아서 제2 패턴화층이 되도록 상기 제1 패턴화층을 제거하는 단계;
    상기 제2 패턴화층의 패턴을 상기 재료층에 전사하는 단계;
    제2 이미지 반전 재료가 상기 패턴화된 재료층을 채우고 상기 패턴화된 재료층을 덮도록, 상기 제2 이미지 반전 재료로 상기 패턴화된 재료층을 오버 코팅하는 단계;
    상기 패턴화된 재료층의 최상면이 노출되도록, 상기 제2 이미지 반전 재료의 상부를 제거하는 단계; 및
    상기 제2 이미지 반전 재료가 남아서 제3 패턴화층이 되도록 상기 패턴화된 재료층을 제거하는 단계
    를 포함하는 기판을 패터닝하는 방법.
  2. 삭제
  3. 삭제
  4. 기판을 패터닝하는 방법에 있어서,
    기판 상에 에칭될 재료층 위에 놓인 제1 패턴화층을 받아들이는 단계로서, 상기 제1 패턴화층은, (i) 극자외선(EUV) 방사 리소그래피에 노출될 때 40 나노미터보다 작은 리소그래픽 해상도를 제공하는 재료 특성과, (ii) 상기 제1 패턴화층 아래에 놓인 재료를 에칭하기 위해 선택되는 에칭 공정 조건에 대한 공칭 내식각도를 제공하는 재료 특성을 갖는 레지스트 재료로 이루어지고, 상기 제1 패턴화층은 1.5 대 1보다 작은 높이 대 폭의 비율을 갖는 피처들에 의해 특징지어지는 것인, 상기 제1 패턴화층을 받아들이는 단계;
    이미지 반전 재료가 상기 제1 패턴화층을 채우고 상기 제1 패턴화층을 덮도록, 상기 이미지 반전 재료로 상기 제1 패턴화층을 오버 코팅하는 단계로서, 상기 이미지 반전 재료는 상기 공칭 내식각도를 초과하는 에칭 공정 조건에 대한 내식각도를 제공하는 재료 특성을 갖는 것인, 상기 제1 패턴화층을 오버 코팅하는 단계;
    상기 제1 패턴화층의 최상면들이 노출되도록 상기 이미지 반전 재료의 상부를 제거하는 단계;
    상기 이미지 반전 재료가 남아서 제2 패턴화층이 되도록 상기 제1 패턴화층을 제거하는 단계;
    제2 이미지 반전 재료가 상기 제2 패턴화층을 채우고 상기 제2 패턴화층을 덮도록, 상기 제2 이미지 반전 재료로 상기 제2 패턴화층을 오버 코팅하는 단계로서, 상기 제2 이미지 반전 재료는 상기 공칭 내식각도를 초과하는 에칭 공정 조건에 대한 내식각도를 제공하는 재료 특성을 갖는 것인, 상기 제2 패턴화층을 오버 코팅하는 단계;
    상기 제2 패턴화층의 최상면이 노출되도록 상기 제2 이미지 반전 재료의 상부를 제거하는 단계; 및
    상기 제2 이미지 반전 재료가 남아서 제3 패턴화층이 되도록 상기 제2 패턴화층을 제거하는 단계
    를 포함하는 기판을 패터닝하는 방법.
  5. 청구항 4에 있어서,
    상기 제3 패턴화층의 패턴을 상기 재료층에 전사하는 단계
    를 더 포함하는 기판을 패터닝하는 방법.
  6. 청구항 1에 있어서,
    상기 제1 패턴화층은 고속 레지스트, 극자외선(EUV) 레지스트, 또는 전자 빔 레지스트를 포함하는 것인, 기판을 패터닝하는 방법.
  7. 청구항 6에 있어서,
    상기 극자외선(EUV) 레지스트는 고속 레지스트인 것인, 기판을 패터닝하는 방법.
  8. 청구항 7에 있어서,
    상기 고속 레지스트는 하프늄 산화물 함유 레지스트인 것인, 기판을 패터닝하는 방법.
  9. 청구항 1에 있어서,
    상기 제1 패턴화층은 열적 동결 레지스트, 전자기 동결 레지스트, 또는 화학적 동결 레지스트를 포함하는 것인, 기판을 패터닝하는 방법.
  10. 청구항 1에 있어서,
    상기 이미지 반전 재료는 유기 또는 무기 재료인 것인, 기판을 패터닝하는 방법.
  11. 청구항 1에 있어서,
    상기 이미지 반전 재료는 금속 함유 재료, 실리콘 함유 재료, 또는 실리콘 무반사 코팅(ARC) 재료인 것인, 기판을 패터닝하는 방법.
  12. 청구항 1에 있어서,
    상기 이미지 반전 재료는 하드 가교 결합 재료인 것인, 기판을 패터닝하는 방법.
  13. 청구항 1에 있어서,
    상기 제1 패턴화층을 오버 코팅하는 단계는 에치-백 단계를 포함하거나 또는 에치-백 단계가 그 뒤를 따르는 중단 및 충전 단계를 포함하는 것인, 기판을 패터닝하는 방법.
  14. 청구항 13에 있어서,
    상기 이미지 반전 재료를 위한 반전제(reversal agent)는 잔여 디벨로프-백(residual develop-back) 능력을 갖는 고분자를 포함하는 것인, 기판을 패터닝하는 방법.
  15. 청구항 13에 있어서,
    상기 이미지 반전 재료를 위한 반전제는 화학적 기계적 연마 단계로 처리되거나 또는 에치-백 및 건식 에칭 공정이 그 뒤를 따르는 산화막을 포함하는 것인, 기판을 패터닝하는 방법.
  16. 청구항 13에 있어서,
    상기 이미지 반전 재료는 비-레지스트 재료를 사용하고, 등방성 에칭이 상기 이미지 반전 재료를 슬림화 혹은 에치-백하도록 수행되는 것인, 기판을 패터닝하는 방법.
  17. 청구항 1에 있어서,
    상기 제1 패턴화층을 오버 코팅하는 단계는 도포된 산이 하향으로 확산되고 상기 제1 패턴화층의 용해도를 변경시키는 화학적 트림 오버 코팅을 포함하는 것인, 기판을 패터닝하는 방법.
  18. 기판을 패터닝하는 방법에 있어서,
    기판 상에 에칭될 재료층 위에 놓인 제1 패턴화층을 받아들이는 단계로서, 상기 제1 패턴화층은, (i) 극자외선(EUV) 방사 리소그래피에 노출될 때 40 나노미터보다 작은 리소그래픽 해상도를 제공하는 재료 특성과, (ii) 상기 제1 패턴화층 아래에 놓인 재료를 에칭하기 위해 선택되는 에칭 공정 조건에 대한 공칭 내식각도를 제공하는 재료 특성을 갖는 레지스트 재료로 이루어지고, 상기 제1 패턴화층은 1.5 대 1보다 작은 높이 대 폭의 비율을 갖는 피처들에 의해 특징지어지는 것인, 상기 제1 패턴화층을 받아들이는 단계;
    이미지 반전 재료가 상기 제1 패턴화층을 채우고 상기 제1 패턴화층을 덮도록, 상기 이미지 반전 재료로 상기 제1 패턴화층을 오버 코팅하는 단계로서, 상기 이미지 반전 재료는 상기 공칭 내식각도를 초과하는 에칭 공정 조건에 대한 내식각도를 제공하는 재료 특성을 갖는 것인, 상기 제1 패턴화층을 오버 코팅하는 단계;
    상기 제1 패턴화층의 최상면들이 노출되도록 상기 이미지 반전 재료의 상부를 제거하는 단계; 및
    상기 이미지 반전 재료가 남아서 제2 패턴화층이 되도록 상기 제1 패턴화층을 제거하는 단계
    를 포함하고,
    상기 제1 패턴화층을 오버 코팅하는 단계는, 상기 이미지 반전 재료에 적용되는 화학적 트림 오버 코팅을 포함하고, 도포된 산이 하향으로 확산되고 상기 이미지 반전 재료의 용해도를 변경시키는 화학적 트림 오버 코팅을 포함하며,
    상기 제1 패턴화층은, 상기 산이 아래쪽으로 확산되어 상기 이미지 반전 재료가 하향 용해도 프로파일을 갖게 되도록, 베이킹되는 것인, 기판을 패터닝하는 방법.
  19. 삭제
  20. 청구항 1에 있어서,
    상기 제1 패턴화층을 제거하는 단계는 용매 기상 공정, 화학적 스핀 코팅 공정, 레지스트 현상 공정, 용매 스핀 코팅 공정, 건식 또는 습식 에칭 공정을 사용하여 실행되는 것인, 기판을 패터닝하는 방법.
  21. 기판을 패터닝하는 방법에 있어서,
    기판 상에 에칭될 재료층 위에 놓인 제1 패턴화층을 받아들이는 단계로서, 상기 제1 패턴화층은 (i) 극자외선(EUV) 방사 리소그래피에 노출될 때 40 나노미터보다 작은 리소그래픽 해상도를 제공하는 재료 특성과, (ii) 상기 제1 패턴화층 아래에 놓인 재료를 에칭하기 위해 선택되는 에칭 공정 조건에 대한 공칭 내식각도를 제공하는 재료 특성을 갖는 레지스트 재료로 이루어지고, 상기 제1 패턴화층은 1.5 대 1보다 작은 높이 대 폭의 비율을 갖는 피처들에 의해 특징지어지는 것인, 상기 제1 패턴화층을 받아들이는 단계;
    이미지 반전 재료가 상기 제1 패턴화층을 채우고 상기 제1 패턴화층을 덮도록, 상기 이미지 반전 재료로 상기 제1 패턴화층을 오버 코팅하는 단계로서, 상기 이미지 반전 재료는 공칭 내식각도를 초과하는 에칭 공정 조건에 대한 내식각도를 제공하는 재료 특성을 갖는 것인, 상기 제1 패턴화층을 오버 코팅하는 단계;
    상기 제1 패턴화층의 최상면이 노출되도록 상기 이미지 반전 재료의 상부를 제거하는 단계;
    상기 이미지 반전 재료가 남아서 제2 패턴화층이 되도록 상기 제1 패턴화층을 제거하는 단계; 및
    상기 이미지 반전 재료로 제1 패턴화층을 오버 코팅하는 것, 상기 이미지 반전 재료의 상부를 제거하는 것, 및 상기 이미지 반전 재료가 남아서 제2 패턴화층으로 되도록 상기 제1 패턴화층을 제거하는 것 중 적어도 하나를 포함하는 하나 이상의 동작들 동안에 동시적으로 제어되는 하나 이상의 선택된 동작 변수들을 제어하는 단계로서, 상기 제어하는 단계는 패터닝 목적들을 달성하기 위해 행해지는 것인, 상기 제어하는 단계 를 포함하고,
    상기 제1 패턴화층을 오버 코팅하는 단계는, 상기 이미지 반전 재료에 적용되는 화학적 트림 오버 코팅을 포함하고, 도포된 산이 하향으로 확산되고 상기 이미지 반전 재료의 용해도를 변경시키는 화학적 트림 오버 코팅을 포함하며,
    상기 제1 패턴화층은, 상기 산이 아래쪽으로 확산되어 상기 이미지 반전 재료가 하향 용해도 프로파일을 갖게 되도록, 베이킹되는 것인, 기판을 패터닝하는 방법.
KR1020150144798A 2014-10-16 2015-10-16 Euⅴ 레지스트 에칭 내구성 향상 및 패턴 붕괴 완화 KR101789381B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201462064636P 2014-10-16 2014-10-16
US62/064,636 2014-10-16

Publications (2)

Publication Number Publication Date
KR20160045036A KR20160045036A (ko) 2016-04-26
KR101789381B1 true KR101789381B1 (ko) 2017-11-15

Family

ID=54364973

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150144798A KR101789381B1 (ko) 2014-10-16 2015-10-16 Euⅴ 레지스트 에칭 내구성 향상 및 패턴 붕괴 완화

Country Status (5)

Country Link
US (1) US9791779B2 (ko)
EP (1) EP3010033B1 (ko)
JP (1) JP6379080B2 (ko)
KR (1) KR101789381B1 (ko)
TW (1) TWI582830B (ko)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9633847B2 (en) * 2015-04-10 2017-04-25 Tokyo Electron Limited Using sub-resolution openings to aid in image reversal, directed self-assembly, and selective deposition
JP6236481B2 (ja) * 2016-02-17 2017-11-22 東京エレクトロン株式会社 パターン形成方法
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10438797B2 (en) 2016-09-06 2019-10-08 Tokyo Electron Limited Method of quasi atomic layer etching
US10832908B2 (en) * 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
JP6800779B2 (ja) * 2017-03-06 2020-12-16 Hoya株式会社 転写用マスクの製造方法、および半導体デバイスの製造方法
US11608321B2 (en) * 2017-06-23 2023-03-21 Universal Display Corporation Organic electroluminescent materials and devices
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10347486B1 (en) * 2017-12-19 2019-07-09 International Business Machines Corporation Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (EUV) lithography
US10656527B2 (en) * 2017-12-21 2020-05-19 International Business Machines Corporation Patterning material film stack with hard mask layer configured to support selective deposition on patterned resist layer
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films
US10615037B2 (en) 2018-08-17 2020-04-07 International Business Machines Corporation Tone reversal during EUV pattern transfer using surface active layer assisted selective deposition
WO2020140234A1 (zh) * 2019-01-03 2020-07-09 京东方科技集团股份有限公司 模板制备方法
US11501969B2 (en) * 2019-01-22 2022-11-15 International Business Machines Corporation Direct extreme ultraviolet lithography on hard mask with reverse tone
CN111552090A (zh) * 2019-02-12 2020-08-18 世界先进积体电路股份有限公司 半导体装置
US11335717B2 (en) 2019-03-22 2022-05-17 Vanguard International Semiconductor Corporation Semiconductor device including light-collimating layer
JP7203677B2 (ja) * 2019-04-17 2023-01-13 東京エレクトロン株式会社 パターン形成方法及びパターン形成システム
WO2023028243A1 (en) * 2021-08-25 2023-03-02 Geminatio, Inc. Narrow line cut masking process

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120329282A1 (en) * 2006-03-22 2012-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method and material for forming a double exposure lithography pattern
US20140234781A1 (en) 2013-02-18 2014-08-21 Shin-Etsu Chemical Co., Ltd. Pattern forming process

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3320648B2 (ja) * 1997-12-04 2002-09-03 東京エレクトロン株式会社 レジスト膜の形成方法及びレジスト膜の形成装置
US7371509B2 (en) 2004-05-07 2008-05-13 Micron Technology, Inc. Resist pattern and reflow technology
JP5203575B2 (ja) * 2005-05-04 2013-06-05 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. コーティング組成物
JP2008066467A (ja) 2006-09-06 2008-03-21 Toshiba Corp パターン形成方法
JP4857208B2 (ja) 2006-11-10 2012-01-18 信越化学工業株式会社 レジスト材料を用いたパターン形成方法
JP5115752B2 (ja) * 2008-11-21 2013-01-09 信越化学工業株式会社 パターン形成方法
JP5011345B2 (ja) 2009-05-15 2012-08-29 東京エレクトロン株式会社 レジストパターンのスリミング処理方法
JP2010286618A (ja) 2009-06-10 2010-12-24 Tokyo Ohka Kogyo Co Ltd パターン形成方法
JP5624858B2 (ja) * 2009-11-20 2014-11-12 東京応化工業株式会社 パターン形成方法
US9176377B2 (en) * 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
JP5711958B2 (ja) * 2010-12-17 2015-05-07 東京応化工業株式会社 パターン形成方法
JP5708522B2 (ja) 2011-02-15 2015-04-30 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
TWI541609B (zh) 2011-02-17 2016-07-11 富士軟片股份有限公司 填隙組成物、填隙方法以及使用該組成物製造半導體元件的方法
JP5798102B2 (ja) 2011-11-29 2015-10-21 信越化学工業株式会社 ケイ素含有レジスト下層膜形成用組成物及びパターン形成方法
JP5776615B2 (ja) 2012-04-11 2015-09-09 信越化学工業株式会社 パターン形成方法
CN104871289B (zh) * 2012-12-14 2017-10-10 巴斯夫欧洲公司 包含表面活性剂和疏水化剂的组合物在处理线间距尺寸为50nm或更低的图案化材料时避免图案崩塌的用途
JP2016539361A (ja) 2013-11-08 2016-12-15 東京エレクトロン株式会社 Euvリソグラフィを加速するためのポスト処理メソッドを使用する方法
JP2017521715A (ja) 2014-07-08 2017-08-03 東京エレクトロン株式会社 ネガティブトーン現像剤相溶性フォトレジスト組成物及び使用方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120329282A1 (en) * 2006-03-22 2012-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method and material for forming a double exposure lithography pattern
US20140234781A1 (en) 2013-02-18 2014-08-21 Shin-Etsu Chemical Co., Ltd. Pattern forming process

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
VAN DELFT F 외 4명, ‘Reversing the hydrogen silsesquioxane image by silicon nitride and silicon oxide chemical mechanical polishing’, Journal of Vacuum Science & Technology, part B, vol. 24, no. 6, page

Also Published As

Publication number Publication date
EP3010033A1 (en) 2016-04-20
US9791779B2 (en) 2017-10-17
TWI582830B (zh) 2017-05-11
US20160109804A1 (en) 2016-04-21
EP3010033B1 (en) 2018-08-29
JP2016081065A (ja) 2016-05-16
JP6379080B2 (ja) 2018-08-22
TW201626438A (zh) 2016-07-16
KR20160045036A (ko) 2016-04-26

Similar Documents

Publication Publication Date Title
KR101789381B1 (ko) Euⅴ 레지스트 에칭 내구성 향상 및 패턴 붕괴 완화
KR101860243B1 (ko) Euv 리소그래피를 가속화하기 위한 사후처리 방법을 이용한 방법
KR102310834B1 (ko) 그래프팅 중합체 물질의 사용으로 기판의 패턴화
US9263297B2 (en) Method for self-aligned double patterning without atomic layer deposition
US7432191B1 (en) Method of forming a dual damascene structure utilizing a developable anti-reflective coating
TWI585822B (zh) 基板上之接觸窗開口的圖案化方法
TWI662369B (zh) 半導體元件的形成方法
TWI595556B (zh) 用於基板圖案化之遮罩的形成方法
US20140299971A1 (en) Methods of forming a reversed pattern in a substrate, and related semiconductor device structures
KR101988193B1 (ko) 화학적 폴리싱 및 평탄화를 위한 방법
US11300881B2 (en) Line break repairing layer for extreme ultraviolet patterning stacks
KR101752948B1 (ko) 스핀-온 층에 고종횡비 패터닝을 하는 방법
TWI515768B (zh) 微影圖案化方法及雙重圖案化方法
KR102462051B1 (ko) 진보된 콘택 홀 패터닝 방법
US20220388232A1 (en) Method for removing material overburden via enhanced freeze-less anti-spacer formation using a bilayer system
KR102527983B1 (ko) 반도체 장치의 미세 패턴 형성방법
JP2001092152A (ja) 半導体装置の製造方法
Franzen et al. Application of a bilayer silylated resist process in volume production

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant