KR102390158B1 - 에칭 방법 - Google Patents

에칭 방법 Download PDF

Info

Publication number
KR102390158B1
KR102390158B1 KR1020197031610A KR20197031610A KR102390158B1 KR 102390158 B1 KR102390158 B1 KR 102390158B1 KR 1020197031610 A KR1020197031610 A KR 1020197031610A KR 20197031610 A KR20197031610 A KR 20197031610A KR 102390158 B1 KR102390158 B1 KR 102390158B1
Authority
KR
South Korea
Prior art keywords
etching
silicon nitride
nitride layer
silicon oxide
oxide layer
Prior art date
Application number
KR1020197031610A
Other languages
English (en)
Other versions
KR20190130004A (ko
Inventor
토모유키 후쿠요
Original Assignee
쇼와 덴코 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 쇼와 덴코 가부시키가이샤 filed Critical 쇼와 덴코 가부시키가이샤
Publication of KR20190130004A publication Critical patent/KR20190130004A/ko
Application granted granted Critical
Publication of KR102390158B1 publication Critical patent/KR102390158B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

실리콘 질화물층의 에칭 속도와 실리콘 산화물층의 에칭 속도를 동 정도로 제어할 수 있는 에칭 방법을 제공한다. 적층된 실리콘 산화물층(2)과 실리콘 질화물층(3)을 갖는 적층막(5)을 구비하는 피처리체를 탄소, 브롬, 및 불소로 이루어지는 할로겐화탄소 화합물을 함유하는 에칭 가스에 의해 처리한다. 그러면 실리콘 산화물층(2)과 실리콘 질화물층(3)이 동 정도의 에칭 속도로 에칭된다.

Description

에칭 방법
본 발명은 에칭 방법에 관한 것이다.
반도체의 제조 공정에 있어서는 레지스트나, 유기막이나, 카본막을 마스크로 하여 적층된 실리콘 산화물층과 실리콘 질화물층을 갖는 적층막을 에칭 가스를 사용하여 에칭하는 공정이 있다. 예를 들면, 특허문헌 1에는 1,3,3,3-테트라플루오로프로펜, 첨가 가스, 및 불활성 가스로 이루어지는 에칭 가스를 사용하여 상기 적층막을 에칭하는 방법이 개시되어 있다. 그러나 Si-N 결합은 Si-O 결합에 비해 결합 에너지가 약하므로 실리콘 질화물층의 에칭 속도가 실리콘 산화물층의 에칭 속도보다 1.2배 정도 커진다.
그 때문에 애스펙트비(20)를 초과하는 것 같은 고애스펙트비의 관통 구멍을 형성하는 심굴 에칭을 상기 적층막에 대하여 실시했을 경우에는 실리콘 산화물층이 두께 방향으로 에칭되는 속도보다 실리콘 질화물층이 두께 방향에 직교하는 면 방향으로 에칭되는 속도 쪽이 커지므로 실리콘 질화물층이 면 방향으로 과잉으로 에칭되어서 에칭형상의 이상이 발생할 우려가 있었다.
일본국 특허공개 공보 2012년 제114402호
본 발명은 실리콘 질화물층의 에칭 속도와 실리콘 산화물층의 에칭 속도를 동 정도로 제어할 수 있는 에칭 방법을 제공하는 것을 과제로 한다.
상기 과제를 해결하기 위해서 본 발명의 일실시형태는 이하의 [1]~[4]와 같다.
[1] 적층된 실리콘 산화물층과 실리콘 질화물층을 갖는 적층막을 구비하는 피처리체를 탄소, 브롬, 및 불소로 이루어지는 할로겐화탄소 화합물을 함유하는 에칭 가스에 의해 처리하여 상기 실리콘 산화물층과 상기 실리콘 질화물층의 양쪽을 에칭하는 에칭 공정을 구비하는 에칭 방법.
[2] [1]에 있어서, 상기 할로겐화탄소 화합물이 디브로모디플루오로메탄 및 브로모펜타플루오로에탄 중 적어도 한쪽인 에칭 방법.
[3] [1] 또는 [2]에 있어서, 상기 에칭 가스가 불활성 가스를 더 함유하는 에칭 방법.
[4] [1] 내지 [3] 중 어느 한 항에 있어서, 상기 에칭 공정에 있어서는 상기 에칭 가스를 플라스마화해서 얻어지는 플라스마 가스를 사용하여 에칭하는 에칭 방법.
(발명의 효과)
본 발명의 에칭 방법에 의해 적층된 실리콘 산화물층과 실리콘 질화물층을 갖는 적층막을 구비하는 피처리체를 에칭하면 실리콘 질화물층의 에칭 속도와 실리콘 산화물층의 에칭 속도를 동 정도로 제어할 수 있다.
도 1은 본 발명의 일실시형태에 의한 에칭 방법을 설명하는 피처리체의 단면도이다.
도 2는 ICP 전력과 에칭 속도의 관계를 나타내는 그래프이다.
본 발명의 일실시형태에 대해서 이하에 설명한다. 또한, 본 실시형태는 본 발명의 일례를 나타낸 것이며, 본 발명은 본 실시형태에 한정되는 것은 아니다. 또한, 본 실시형태에는 여러 가지의 변경 또는 개량을 추가하는 것이 가능하며, 그와 같은 변경 또는 개량을 추가한 형태도 본 발명에 포함될 수 있다.
본 실시형태의 에칭 방법은 적층된 실리콘 산화물층(SiOx층)과 실리콘 질화물층을 갖는 적층막을 구비하는 피처리체를 탄소, 브롬, 및 불소로 이루어지는 할로겐화탄소 화합물을 함유하는 에칭 가스에 의해 처리하고, 실리콘 산화물층과 실리콘 질화물층의 양쪽을 에칭하는 에칭 공정을 구비한다.
본 실시형태의 에칭 방법에 의해 적층된 실리콘 산화물층과 실리콘 질화물층을 갖는 적층막을 구비하는 피처리체를 에칭하면 에칭 조건 등에 의해 실리콘 산화물층의 에칭 속도에 대한 실리콘 질화물층의 에칭 속도의 비([실리콘 질화물층의 에칭 속도]/[실리콘 산화물층의 에칭 속도])를 0.8 이상 1.5 미만 사이에서 임의로 제어할 수 있다. 따라서, 본 실시형태의 에칭 방법에 의하면 실리콘 질화물층의 에칭 속도와 실리콘 산화물층의 에칭 속도를 동 정도로 제어하는 것이 가능하며, 실리콘 산화물층의 에칭 속도에 대한 실리콘 질화물층의 에칭 속도의 비는 0.9 이상 1.2 미만으로 하는 것이 바람직하다.
탄소, 브롬, 및 불소로 이루어지는 할로겐화탄소 화합물의 종류는 특별히 한정되는 것은 아니지만, 디브로모디플루오로메탄(CBr2F2) 및 브로모펜타플루오로에탄(C2BrF5) 중 적어도 한쪽을 사용할 수 있다.
에칭 가스에는 할로겐화탄소 화합물과 함께 불활성 가스를 함유시켜도 좋다. 불활성 가스를 공존시키면서 에칭을 행함으로써 마스크에 대하여 실리콘 산화물층 및 실리콘 질화물층을 선택적으로 또한 높은 에칭 속도로 에칭할 수 있다. 불활성 가스의 종류는 특별히 한정되는 것은 아니지만, 헬륨(He), 아르곤(Ar), 네온(Ne), 크립톤(Kr), 크세논(Xe), 질소(N2)를 들 수 있다. 이들 불활성 가스는 1종을 단독으로 사용해도 좋고, 2종 이상을 병용해도 좋다.
또한, 에칭 공정에 있어서 채용되는 에칭 방법은 특별히 한정되는 것은 아니지만, 에칭 가스를 플라스마화해서 얻어지는 플라스마 가스를 사용하여 에칭하는 플라스마 에칭법을 채용할 수 있다.
에칭에 사용되는 플라스마에는 플라스마 용량 결합형 플라스마(CCP: Capacitively Coupled Plasma), 전자 사이클로트론 공명 플라스마(ECP: Electron Cyclotron resonance Plasma), 헬리콘파 여기 플라스마(HWP: Helicon Wave Plasma), 유도 결합형 플라스마(ICP: Inductively Coupled Plasma), 마이크로파 여기 표면파 플라스마(SWP: Surface Wave Plasma) 등이 있다.
이러한 본 실시형태의 에칭 방법은, 예를 들면 삼차원 NAND형 플래시 메모리의 제조 과정 중의 일공정인 실리콘 질화물층과 실리콘 산화물층이 기판 상에 교대로 다수 적층된 적층막에 대하여 두께 방향으로 연장되는 관통 구멍을 형성하는 공정에 있어서 사용 가능하다.
적층된 실리콘 산화물층과 실리콘 질화물층을 갖는 적층막을 구비하는 피처리체를 본 실시형태의 에칭 방법에 의해 에칭하고, 적층막에 관통 구멍을 형성하는 방법의 일례를 도 1을 참조하면서 설명한다.
도 1은 적층막(5)에 관통 구멍(9)이 형성된 피처리체의 단면도이지만, 이 피처리체는 실리콘 질화물층(3)과 실리콘 산화물층(2)이 교대로 다수(도 1의 예에서는 3층씩) 적층된 적층막(5)이 반도체 기판(1) 상에 형성되어 구성되어 있다. 또한, 반도체 기판(1)의 바로 위에는 적층막(5)의 실리콘 산화물층(2)이 적층되어 있다.
적층막(5)의 최상층의 실리콘 질화물층(3) 상에는 패턴이 형성된 마스크(7)가 피복되어 있으며, 본 실시형태의 에칭 방법에 의해 에칭을 행하면 마스크(7)로부터 노출되는 적층막(5)이 에칭되어서 관통 구멍(9)이 형성된다.
본 실시형태의 에칭 방법은 실리콘 질화물층(3)의 에칭 속도와 실리콘 산화물층(2)의 에칭 속도를 동 정도로 제어하는 것이 가능하므로 적층막(5)에 관통 구멍(9)을 형성할 때에는 관통 구멍(9)의 내면에 노출되는 실리콘 질화물층(3)이 면 방향(두께 방향에 직교하는 방향)으로 과잉으로 에칭되는 것이 억제된다. 따라서, 애스펙트비(20)를 초과하는 것 같은 고애스펙트비의 관통 구멍(9)을 형성하는 심굴 에칭을 적층막(5)에 대하여 실시한 경우에도 도 1에 나타내는 바와 같이 적층막(5)의 적층 구조의 붕괴나 에칭형상 이상을 발생하는 일 없이 관통 구멍(9)을 형성할 수 있다.
실시예
이하에 각종 시험예를 나타내어 본 발명을 보다 상세하게 설명한다.
〔시험예 1〕
플라스마 화학기상 성장법에 의해 Si 기판 상에 실리콘 질화물층을 성막하여 시험편을 얻었다. 실리콘 질화물층의 막두께는 500㎚로 했다. 이 시험편에 대하여 유도 결합형 플라스마 에칭(ICP 에칭)을 실시하여 실리콘 질화물층을 에칭했다. 에칭 조건은 이하와 같다.
에칭 장치: Samco Inc.제의 ICP 에칭 장치 RIE-200iP
에칭 시간: 120초
ICP 전력: 200W, 500W 또는 800W
바이어스 전력: 200W
압력: 2Pa
에칭 가스: 디브로모디플루오로메탄 1체적부와 아르곤 9체적부의 혼합 가스
에칭 가스의 유량: 100SCCM
에칭이 종료되면 JASCO Corporation제의 ELLIPSOMETER M-550을 사용하여 실리콘 질화물층의 막두께를 측정했다. 그리고 에칭 전후에서의 막두께의 차를 에칭 시간으로 나눔으로써 실리콘 질화물층의 에칭 속도를 산출했다.
〔시험예 2〕
실리콘 질화물층 대신에 막두께 2000㎚의 실리콘 산화물층을 Si 기판 상에 성막해서 시험편을 얻는 점 이외에는 시험예 1과 마찬가지로 하여 실리콘 산화물층의 에칭 속도를 산출했다.
〔시험예 3〕
에칭 가스 중의 할로겐화탄소 화합물의 종류를 디브로모디플루오로메탄으로부터 4불화탄소(CF4)로 대신한 점 이외에는 시험예 1과 마찬가지로 하여 실리콘 질화물층의 에칭 속도를 산출했다.
〔시험예 4〕
에칭 가스 중의 할로겐화탄소 화합물의 종류를 디브로모디플루오로메탄으로부터 4불화탄소(CF4)로 대신한 점 이외에는 시험예 2와 마찬가지로 하여 실리콘 산화물층의 에칭 속도를 산출했다.
〔시험예 5〕
에칭 가스를 4불화탄소 1체적부와, 아르곤 8체적부와, 산소 가스 1체적부의 혼합 가스로 대신한 점 이외에는 시험예 3과 마찬가지로 하여 실리콘 질화물층의 에칭 속도를 산출했다.
〔시험예 6〕
에칭 가스를 4불화탄소 1체적부와, 아르곤 8체적부와, 산소 가스 1체적부의 혼합 가스로 대신한 점 이외에는 시험예 4와 마찬가지로 하여 실리콘 산화물층의 에칭 속도를 산출했다.
Figure 112019109174118-pct00001
각 시험예의 결과를 표 1과 도 2의 그래프에 나타낸다. 표 1 중의 「비」는 실리콘 산화물층의 에칭 속도에 대한 실리콘 질화물층의 에칭 속도의 비([실리콘 질화물층의 에칭 속도]/[실리콘 산화물층의 에칭 속도])이다. 표 1과 도 2의 그래프로부터 알 수 있는 바와 같이 에칭 가스 중의 할로겐화탄소 화합물의 종류가 4불화탄소인 경우에는 실리콘 산화물층의 에칭 속도에 비해 실리콘 질화물층의 에칭 속도가 컸다. 이에 대하여 에칭 가스 중의 할로겐화탄소 화합물의 종류가 디브로모디플루오로메탄인 경우에는 실리콘 산화물층의 에칭 속도와 실리콘 질화물층의 에칭 속도가 거의 동 정도이었다(표 1의 「비」의 란을 참조).
에칭 가스로서 4불화탄소와, 아르곤과, 산소 가스의 혼합 가스를 사용함으로써 실리콘 질화물층의 에칭 속도를 저하시킬 수 있다. 그러나 적층된 실리콘 산화물층과 실리콘 질화물층을 갖는 적층막 전체로서의 에칭 속도가 크게 저하되어 버린다는 문제가 있다. 또한, 산소를 혼합하면 패턴 기판의 에칭에서는 포토레지스트 등의 마스크가 에칭되기 쉬워져 버린다.
디브로모디플루오로메탄을 사용하면 적층막 전체로서의 에칭 속도를 저하시키는 일 없이 실리콘 산화물층의 에칭 속도와 실리콘 질화물층의 에칭 속도를 거의 동 정도로 제어할 수 있다.
1: 반도체 기판 2: 실리콘 산화물층
3: 실리콘 질화물층 5: 적층막
7: 마스크 9: 관통 구멍

Claims (7)

  1. 적층된 실리콘 산화물층과 실리콘 질화물층을 갖는 적층막을 구비하는 피처리체를 탄소, 브롬, 및 불소로 이루어지는 할로겐화탄소 화합물을 함유하는 에칭 가스에 의해 처리하여 상기 실리콘 산화물층과 상기 실리콘 질화물층의 양쪽을 에칭하는 에칭 공정을 구비하고,
    상기 실리콘 산화물층의 에칭 속도에 대한 상기 실리콘 질화물층의 에칭 속도의 비를 0.8 이상 1.5 미만으로 하는 에칭 방법.
  2. 제 1 항에 있어서,
    상기 피처리체는, 상기 실리콘 질화물층과 상기 실리콘 산화물층이 교대로 복수층 적층된 적층막이, 반도체 기판 상에 형성되어 구성되어 있는, 에칭 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 할로겐화탄소 화합물이 디브로모디플루오로메탄 및 브로모펜타플루오로에탄 중 적어도 한쪽인 에칭 방법.
  4. 제 1 항 또는 제 2 항에 있어서,
    상기 할로겐화탄소 화합물이 디브로모디플루오로메탄인 에칭 방법.
  5. 제 1 항 또는 제 2 항에 있어서,
    상기 에칭 가스가 불활성 가스를 더 함유하는 에칭 방법.
  6. 제 1 항 또는 제 2 항에 있어서,
    상기 에칭 공정에 있어서는 상기 에칭 가스를 플라스마화해서 얻어지는 플라스마 가스를 사용하여 에칭하는 에칭 방법.
  7. 제 1 항 또는 제 2 항에 있어서,
    상기 에칭 가스가 헬륨(He), 아르곤(Ar), 네온(Ne), 크립톤(Kr), 및 크세논(Xe)으로부터 선택되는 불활성 가스를 더 함유하는 에칭 방법.
KR1020197031610A 2017-06-08 2018-06-01 에칭 방법 KR102390158B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2017113425 2017-06-08
JPJP-P-2017-113425 2017-06-08
PCT/JP2018/021277 WO2018225661A1 (ja) 2017-06-08 2018-06-01 エッチング方法

Publications (2)

Publication Number Publication Date
KR20190130004A KR20190130004A (ko) 2019-11-20
KR102390158B1 true KR102390158B1 (ko) 2022-04-25

Family

ID=64566715

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197031610A KR102390158B1 (ko) 2017-06-08 2018-06-01 에칭 방법

Country Status (7)

Country Link
US (1) US11164751B2 (ko)
EP (1) EP3624171B1 (ko)
JP (1) JP7261159B2 (ko)
KR (1) KR102390158B1 (ko)
CN (1) CN110546743B (ko)
TW (1) TWI690586B (ko)
WO (1) WO2018225661A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7277225B2 (ja) * 2019-04-08 2023-05-18 東京エレクトロン株式会社 エッチング方法、及び、プラズマ処理装置
CN113906829A (zh) * 2019-06-18 2022-01-07 昭和电工株式会社 等离子体蚀刻方法
WO2022163182A1 (ja) * 2021-01-27 2022-08-04 昭和電工株式会社 金属酸化物のパターン形成方法及び半導体素子の製造方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100227772B1 (ko) 1990-10-19 1999-11-01 니시무로 타이죠 산화물 부분 또는 질화물 부분을 함유하는 피처리체의 에칭방법
JP2000208488A (ja) 1999-01-12 2000-07-28 Kawasaki Steel Corp エッチング方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US114402A (en) 1871-05-02 Improvement in shaft-couplings
JPS55138834A (en) * 1979-04-16 1980-10-30 Nippon Telegr & Teleph Corp <Ntt> Dry etching method
NL8004005A (nl) * 1980-07-11 1982-02-01 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting.
NL8204437A (nl) 1982-11-16 1984-06-18 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting met behulp van plasma-etsen.
JPH03208367A (ja) * 1990-01-10 1991-09-11 Fujitsu Ltd 半導体装置の製造方法
US5279705A (en) 1990-11-28 1994-01-18 Dainippon Screen Mfg. Co., Ltd. Gaseous process for selectively removing silicon nitride film
JP3160961B2 (ja) * 1991-10-02 2001-04-25 ソニー株式会社 ドライエッチング方法
DE4232475C2 (de) 1992-09-28 1998-07-02 Siemens Ag Verfahren zum plasmachemischen Trockenätzen von Si¶3¶N¶4¶-Schichten hochselektiv zu SiO¶2¶-Schichten
JPH06204192A (ja) * 1992-12-28 1994-07-22 Toshiba Corp シリコン窒化膜のエッチング方法
KR100243893B1 (ko) * 1993-09-30 2000-03-02 다나까 도미오 기어펌프
US6660643B1 (en) 1999-03-03 2003-12-09 Rwe Schott Solar, Inc. Etching of semiconductor wafer edges
TW527763B (en) 2000-05-01 2003-04-11 Koninkl Philips Electronics Nv Power adaptive frequency divider
JP4761502B2 (ja) * 2004-10-07 2011-08-31 株式会社アルバック 層間絶縁膜のドライエッチング方法
JPWO2007116515A1 (ja) 2006-04-07 2009-08-20 株式会社フィルテック 半導体装置及びその製造方法、ドライエッチング方法、配線材料の作製方法、並びにエッチング装置
US8125069B2 (en) * 2006-04-07 2012-02-28 Philtech Inc. Semiconductor device and etching apparatus
TW200842971A (en) 2007-04-18 2008-11-01 Philtech Inc Semiconductor device, its manufacturing method, dry etching method, method for manufacturing wiring material and dry etching device
JP5434970B2 (ja) 2010-07-12 2014-03-05 セントラル硝子株式会社 ドライエッチング剤
KR102333443B1 (ko) * 2014-10-24 2021-12-02 삼성전자주식회사 반도체 소자의 제조 방법
JP6327295B2 (ja) * 2015-08-12 2018-05-23 セントラル硝子株式会社 ドライエッチング方法
WO2018037799A1 (ja) * 2016-08-25 2018-03-01 日本ゼオン株式会社 プラズマエッチング方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100227772B1 (ko) 1990-10-19 1999-11-01 니시무로 타이죠 산화물 부분 또는 질화물 부분을 함유하는 피처리체의 에칭방법
JP2000208488A (ja) 1999-01-12 2000-07-28 Kawasaki Steel Corp エッチング方法

Also Published As

Publication number Publication date
WO2018225661A1 (ja) 2018-12-13
CN110546743A (zh) 2019-12-06
TWI690586B (zh) 2020-04-11
EP3624171A1 (en) 2020-03-18
TW201903128A (zh) 2019-01-16
EP3624171B1 (en) 2021-09-08
KR20190130004A (ko) 2019-11-20
JPWO2018225661A1 (ja) 2020-04-09
CN110546743B (zh) 2023-03-24
US11164751B2 (en) 2021-11-02
US20210134603A1 (en) 2021-05-06
EP3624171A4 (en) 2020-06-24
JP7261159B2 (ja) 2023-04-19

Similar Documents

Publication Publication Date Title
JP6580215B2 (ja) プラズマ処理方法
KR102390158B1 (ko) 에칭 방법
TWI478234B (zh) 氮化矽膜之蝕刻方法
KR101811910B1 (ko) 질화규소막에 피처를 에칭하는 방법
KR101399181B1 (ko) 플라즈마 프로세싱 시스템에 대한 마스크 언더컷 및 노치를최소화시키는 방법
KR101095603B1 (ko) 드라이 에칭방법
US20120003838A1 (en) Plasma etching method
KR102376841B1 (ko) 에칭 방법 및 반도체의 제조 방법
JP2010287823A (ja) 半導体装置の製造方法
US11232954B2 (en) Sidewall protection layer formation for substrate processing
JP5326404B2 (ja) モールドの製造方法
JP2012191128A (ja) エッチング方法、エッチング装置およびコンピュータ読み取り可能な記憶媒体
US8778796B2 (en) Multilayer line trimming
EP3588537B1 (en) Method of plasma etching
WO2022176142A1 (ja) エッチング方法およびエッチング装置
JP2012174854A (ja) 半導体素子の製造方法
JP2011100760A (ja) エッチング方法
JP2008021927A (ja) パターン形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant