KR102363899B1 - 공간적인 원자 층 증착에 의한 자기-정렬 이중 패터닝 - Google Patents

공간적인 원자 층 증착에 의한 자기-정렬 이중 패터닝 Download PDF

Info

Publication number
KR102363899B1
KR102363899B1 KR1020167022219A KR20167022219A KR102363899B1 KR 102363899 B1 KR102363899 B1 KR 102363899B1 KR 1020167022219 A KR1020167022219 A KR 1020167022219A KR 20167022219 A KR20167022219 A KR 20167022219A KR 102363899 B1 KR102363899 B1 KR 102363899B1
Authority
KR
South Korea
Prior art keywords
layer
section
substrate
processing chamber
patterned layer
Prior art date
Application number
KR1020167022219A
Other languages
English (en)
Other versions
KR20160111426A (ko
Inventor
닝 리
빅터 엔구옌
미하엘라 발세아누
리-쿤 시아
케이치 타나카
스티븐 디. 마르쿠스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020227004779A priority Critical patent/KR102486400B1/ko
Publication of KR20160111426A publication Critical patent/KR20160111426A/ko
Application granted granted Critical
Publication of KR102363899B1 publication Critical patent/KR102363899B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Abstract

피처 트리밍을 포함하는 자기-정렬 이중 패터닝 방법들이 제공된다. SADP 프로세스는 단일 배치 프로세싱 챔버에서 수행되고, 그러한 단일 배치 프로세싱 챔버에서, 기판은, 각각의 섹션이 독립적으로 프로세스 조건을 갖도록, 가스 커튼들에 의해 분리된, 프로세싱 챔버의 섹션들 사이에서 측방향으로 이동된다.

Description

공간적인 원자 층 증착에 의한 자기-정렬 이중 패터닝{SELF-ALIGNED DOUBLE PATTERNING WITH SPATIAL ATOMIC LAYER DEPOSITION}
[0001] 본 개시내용의 실시예들은 일반적으로, 기판들을 프로세싱하기 위한 장치에 관한 것이다. 보다 특정하게는, 본 개시내용은, 자기-정렬 이중 패터닝(self-aligned double patterning) 프로세싱, 및 이를 수행하기 위한 배치 프로세싱 플랫폼(batch processing platform)에 관한 것이다.
[0002] 반도체 디바이스들을 형성하는 프로세스는 일반적으로, 다수의 챔버들을 포함하는 기판 프로세싱 플랫폼들에서 수행된다. 몇몇 경우들에서, 다중-챔버 프로세싱 플랫폼 또는 클러스터 툴의 목적은, 제어되는 환경에서 순차적으로 기판에 대해 2개 또는 그 초과의 프로세스들을 수행하는 것이다. 하지만, 다른 경우들에서, 다중 챔버 프로세싱 플랫폼은 기판들에 대해 단일 프로세싱 단계만을 수행할 수 있으며, 부가적인 챔버들은, 기판들이 플랫폼에 의해 프로세싱되는 레이트를 최대화하도록 의도된다. 후자의 경우에서, 기판들에 대해 수행되는 프로세스는 전형적으로, 배치(batch) 프로세스이고, 그러한 배치 프로세스에서, 예를 들어 25개 또는 50개와 같은 비교적 많은 수의 기판들이, 주어진 챔버에서, 동시에 프로세싱된다. 배치 프로세싱은, 경제적으로 실용적인 방식으로 개별적인 기판들에 대해 수행되기에 너무 시간-소모적인 프로세스들에 대해, 이를 테면, ALD 프로세스들 및 몇몇 화학 기상 증착(CVD) 프로세스들에 대해, 특히 유익하다.
[0003] 기판 프로세싱 플랫폼 또는 시스템의 유효성(effectiveness)은 종종, COO(cost of ownership)에 의해 정량화된다(quantified). COO는, 많은 요인(factor)들에 의해 영향을 받기는 하지만, 시스템 풋프린트, 즉 제조 플랜트(fabrication plant)에서 시스템을 동작시키는 데에 요구되는 총 바닥 면적(floor space), 및 시스템 처리량, 즉 시간당 프로세싱되는 기판들의 개수에 의해 주로 영향을 받는다. 풋프린트는 전형적으로, 유지보수(maintenance)를 위해 요구되는, 시스템에 인접한 액세스 구역(access area)들을 포함한다. 따라서, 기판 프로세싱 플랫폼이 비교적 작을 수 있다고 하더라도, 동작 및 유지보수를 위해 모든 측(side)들로부터의 액세스가 필요하다면, 시스템의 실질적인 풋프린트는 여전히 엄청나게 클 수 있다.
[0004] 반도체 디바이스들의 크기가 축소됨에 따라, 프로세스 가변성(process variability)에 대한 반도체 산업의 허용오차(tolerance)는 계속해서 감소하고 있다. 이러한 더 엄격한(tighter) 프로세스 요건들을 충족시키기 위해, 산업은 더 엄격한 프로세스 윈도우 요건들을 충족시키는 다수의 새로운 프로세스들을 개발하였지만, 이러한 프로세스들은 종종, 완료하는 데에 더 긴 시간이 걸린다. 예를 들어, 높은 종횡비의 65 nm 또는 그 보다 더 작은 배선 피처(interconnect feature)의 표면 상에 등각적으로(conformally) 구리 확산 배리어 층을 형성하기 위해서는, ALD 프로세스를 사용하는 것이 유익할 수 있다. ALD는, CVD와 비교하여 더 우수한 스텝 커버리지를 나타내는, CVD의 변형이다. ALD는, 본래 전자 발광 디스플레이(electroluminescent display)들을 제조하는 데에 이용되었던 원자 층 에피택시(ALE)에 기초한다. ALD는, 기판 표면 상에 반응성 전구체 분자들의 포화된 모노레이어(monolayer)를 증착하기 위해 화학 흡착(chemisorption)을 이용한다. 이는, 증착 챔버 내로 적절한 반응성 전구체들의 펄싱(pulsing)을 주기적으로 교번(alternating)시킴으로써 달성된다. 반응성 전구체의 각각의 주입은 전형적으로, 기판의 표면 상에 균일한 재료 층을 형성하기 위해, 이전에 증착된 층들에 새로운 원자 층을 제공하도록 비활성 가스 퍼지에 의해 분리된다. 재료 층을 미리 결정된 두께로 형성하기 위해, 반응성 전구체 및 비활성 퍼지 가스들의 사이클(cycle)들이 반복된다. ALD 기법들에 대한 가장 큰 결점은, 전형적인 CVD 기법들 보다 증착 레이트가 적어도 10배 만큼 훨씬 더 낮다는 것이다. 예를 들어, 몇몇 ALD 프로세스들은, 기판의 표면 상에 높은 품질 층을 증착하기 위해, 약 10 내지 약 200 분의 챔버 프로세싱 시간을 필요로 할 수 있다. 더 우수한 디바이스 성능을 위해 이러한 ALD 및 에피택시 프로세스들을 선택함에 있어서, 통상의 단일의 기판 프로세싱 챔버에서 디바이스들을 제조하기 위한 비용은, 매우 낮은 기판 프로세싱 처리량으로 인해, 증가할 것이다. 따라서, 그러한 프로세스들을 구현할 때, 연속적인 기판 프로세싱 접근법이 경제적으로 실현가능해질 필요가 있다.
[0005] 능률적이고 비용 효율적인 방식으로 기판 상에 필름(film)을 균일하게 증착하기 위한 장치 및 방법들에 대한 계속적인 필요성이 당업계에 존재한다.
[0006] 본 개시내용의 실시예들은, 제 1 층, 및 제 1 층 상의 패터닝된 층을 갖는 기판을 제공하는 단계를 포함하는 프로세싱 방법들에 관한 것이다. 제 1 층의 부분들은, 패터닝된 층을 통해 노출된다. 패터닝된 층은, 상단 표면, 및 폭을 정의하는 2개의 수직 면들을 갖는 적어도 하나의 피처를 포함한다. 수직 면들은 제 1 층에 대해 실질적으로 수직적이다. 패터닝된 층은, 패터닝된 층의 폭을 감소시키기 위해 트리밍된다(trimmed). 스페이서 층이, 패터닝된 층을 통해 노출된 제 1 층의 부분들, 및 적어도 하나의 피처의 상단 표면 및 수직 면들 양자 모두 상에 필름을 형성하도록, 제 1 층 및 패터닝된 층 위에 스페이서 층이 증착된다. 스페이서 층은, 패터닝된 층을 통해 노출된 제 1 층의 부분들, 및 적어도 하나의 피처의 상단 표면으로부터 에칭된다.
[0007] 본 개시내용의 부가적인 실시예들은, 복수의 섹션들을 포함하는 프로세싱 챔버 내로, 제 1 층, 및 제 1 층 상의 패터닝된 층을 갖는 기판을 배치하는 단계를 포함하는 프로세싱 방법들에 관한 것이다. 각각의 섹션은, 가스 커튼에 의해, 인접하는 섹션들로부터 분리된다. 제 1 층의 부분들은, 패터닝된 층을 통해 노출된다. 패터닝된 층은, 상단 표면, 및 폭을 정의하는 2개의 수직 면들을 갖는 적어도 하나의 피처를 포함한다. 수직 면들은 제 1 층에 대해 실질적으로 수직적이다. 패터닝된 층의 폭을 감소시키기 위하여, 패터닝된 층을 트리밍하기 위해, 기판의 적어도 일부가 제 1 프로세스 조건에 노출된다. 기판은, 가스 커튼을 통해 프로세싱 챔버의 제 2 섹션으로 측방향으로(laterally) 이동된다. 스페이서 층이, 패터닝된 층을 통해 노출된 제 1 층의 부분들, 및 적어도 하나의 피처의 수직 면들 양자 모두 상에 필름을 형성하도록, 제 1 층 및 패터닝된 층 위에 스페이서 층을 증착하기 위해, 기판이 제 2 프로세스 조건에 노출된다. 기판은, 가스 커튼을 통해 프로세싱 챔버의 제 3 섹션으로 측방향으로 이동된다. 패터닝된 층을 통해 노출된 제 1 층의 부분들, 및 적어도 하나의 피처의 상단 표면으로부터 스페이서 층을 에칭하기 위해, 기판이 제 3 프로세스 조건에 노출된다. 기판의 측방향 이동 동안에, 기판의 제 1 부분이 제 1 프로세스 조건에 노출되는 것과 동시에, 표면의 제 2 부분이 제 2 프로세스 조건들에 노출되고, 기판의 중간 부분은 가스 커튼에 노출된다.
[0008] 본 개시내용의 추가적인 실시예들은, 유전체를 포함하는 제 1 층, 및 제 1 층 상의 패터닝된 층을 갖는 기판을 제공하는 단계를 포함하는 프로세싱 방법들에 관한 것이다. 제 1 층의 부분들은, 패터닝된 층을 통해 노출된다. 패터닝된 층은, 상단 표면, 및 약 200 Å 내지 약 800 Å의 범위에서의 폭을 정의하는 2개의 수직 면들을 갖는 적어도 하나의 피처를 포함한다. 수직 면들은 제 1 층에 대해 실질적으로 수직적이다. 트리밍된 수직 면들이 제 1 층에 대해 실질적으로 수직적이도록, 약 10 Å 초과의 양만큼, 패터닝된 층의 폭을 감소시키기 위해, 패터닝된 층이 플라즈마에 노출된다. 스페이서 층이, 패터닝된 층을 통해 노출된 제 1 층의 부분들, 및 적어도 하나의 피처의 상단 표면 및 수직 면들 양자 모두 상에 필름을 형성하도록, 제 1 층 및 패터닝된 층 위에, 산화물, 질화물, 옥시나이트라이드(oxynitride), 또는 카보나이트라이드(carbonitride) 중 하나 또는 그 초과를 포함하는 스페이서 층이 증착된다. 스페이서 층은, 패터닝된 층을 통해 노출된 제 1 층의 부분들, 및 적어도 하나의 피처의 상단 표면으로부터 에칭된다.
[0009] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간단히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 도시하는 것이므로, 제한하는 것으로 간주되지 않아야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0010] 도 1은 본 개시내용의 하나 또는 그 초과의 실시예에 따른 공간적인(spatial) 원자 층 증착 챔버의 측단면도이다.
[0011] 도 2는 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 서셉터의 투시도를 도시한다.
[0012] 도 3은 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 파이-형상(pie-shaped) 가스 분배 어셈블리의 개략도를 도시한다.
[0013] 도 4는 본 개시내용의 하나 또는 그 초과의 실시예들에 따른, 로딩 스테이션과 4개의 가스 분배 어셈블리 유닛들로 구성된 기판 프로세싱 시스템의 개략적인 평면도이다.
[0014] 도 5는 3개의 가스 분배 어셈블리 유닛들로 구성된 기판 프로세싱 시스템의 개략적인 평면도이다.
[0015] 도 6은 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 프로세싱 챔버의 단면도를 도시한다.
[0016] 도 7은 본 개시내용의 하나 또는 그 초과의 실시예들에 따른, 서셉터 어셈블리 및 가스 분배 어셈블리 유닛들의 투시도를 도시한다.
[0017] 도 8은 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 프로세싱 챔버의 단면도를 도시한다.
[0018] 도 9는 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 파이-형상 가스 분배 어셈블리의 개략도를 도시한다.
[0019] 도 10a 내지 도 10f는, 본 개시내용의 하나 또는 그 초과의 실시예에 따른 자기-정렬 이중 패터닝 프로세스의 예시이다.
[0020] 본 개시내용의 실시예들은, 처리량을 최대화하고, 프로세싱 효율 및 균일성을 개선하기 위해, 연속적인 기판 증착을 위한 기판 프로세싱 시스템들을 제공한다. 기판 프로세싱 시스템들은 또한, 증착-전 및 증착-후 기판 처리들에 대해 사용될 수 있다. 본 개시내용의 실시예들은, 배치 프로세서에서 증착 균일성을 증가시키기 위한 장치 및 방법들에 관련된다.
[0021] 본 개시내용의 실시예들은, 반도체 산업에서 IC 디바이스 제조를 위해 사용되는 이중 패터닝 프로세스들에 관한 것이다. 구체적으로, 본 개시내용의 실시예들은, 자기-정렬 이중 패터닝(SADP) 기술, 포토레지스트 패턴 슬리밍(slimming), 스페이서(spacer) 증착, 및 스페이서 에칭에 관한 것이다. 본 개시내용의 실시예들은, 단일 프로세싱 시스템에서 SADP 단계들이 순차적으로 구현될 수 있는 배치 프로세싱 시스템을 사용하는 프로세스들을 제공한다.
[0022] 설명되는 배치 프로세싱 시스템에서, 상이한 화학물질들 또는 플라즈마 가스들의 도입을 위해 사용될 수 있는 다수의 가스 유입구 채널들이 존재한다. 이러한 채널들은, 가스 커튼을 형성하는 진공 펌핑 홀들 및/또는 비활성 퍼징 가스들에 의해, 프로세싱 챔버 내에서 공간적으로 분리된다. 가스 커튼은, 원하지 않는 기체상(gas phase) 반응들을 방지하기 위해, 상이한 채널들로부터의 가스들이 혼합되지 않거나 또는 최소로 혼합되는 것을 보장한다. 이러한 상이한 공간적으로 분리된 채널들을 통해 이동하는 웨이퍼들은, 상이한 화학물질 또는 플라즈마 환경에 대한 순차적인 그리고 다수의 표면 노출들을 받고, 따라서, 공간적인 ALD 모드 또는 표면 에칭 프로세스에서의 층 단위 성장이 가능하게 된다. 본 발명자들은, 3개의 SADP 프로세싱 단계들, 즉, 포토레지스트(PR) 패턴 슬리밍, ALD 스페이서 증착, 및 스페이서 에칭이, 상이한 프로세싱 기법들에 의해, 단일 프로세싱 챔버에서 구현될 수 있다는 것을 발견하였다.
[0023] PR 패턴 슬리밍 프로세스를 위해, 플라즈마 채널들 중 하나 또는 그 초과가 건식 에칭을 수행하기 위해 사용될 수 있다. 이러한 플라즈마 채널들을 통해 이동하는 웨이퍼들은, 활성 에칭 플라즈마 컴포넌트들, 즉, 라디칼들 또는 이온들에 노출된다. 등방성/이방성 PR 패턴 에칭/슬리밍을 달성하기 위해, 프로세싱 파라미터들이 조정될 수 있다. 구체적으로, 플라즈마 채널이 원격 또는 다이렉트(direct) 모드로 스위칭될 수 있고; RF 플라즈마가 사용되는 경우에, RF 주파수가 조정될 수 있고; 플라즈마 접지 플레이트와 웨이퍼 표면 사이의 갭이 변화될 수 있고; 산소 플라즈마가, 상이한 가스 조성들로, Ar, He, N2 등과 같은 하나 또는 그 초과의 비활성 가스들과 혼합될 수 있고; 그리고 챔버 압력 및 웨이퍼 온도(< 100 ℃)가 또한 조정될 수 있다. 이러한 파라미터들을 튜닝함으로써, 이온 에너지, 이온들 대 라디칼들의 조성/밀도, 이온들/라디칼들의 수명이, 타게팅된 에칭/슬리밍 결과들을 달성하기 위해, 튜닝될 수 있다. 이러한 플라즈마 채널들 사이의 퍼징 및 펌핑 채널들은 효과적으로, 에칭 프로세스로부터의 부산물들을 제거할 수 있고, 프레시(fresh) 에칭 표면을 생성할 수 있다. PR 에칭 양은, 특정한 고정된 웨이퍼 이동 속도에서의 플라즈마 노출 시간들의 수에 의해, 정확하게 제어될 수 있다.
[0024] 실리콘 산화물, 실리콘 질화물, 또는 실리콘 탄소 질화물 등과 같은 저온(< 100 ℃) ALD 스페이서 성장을 위하여, 하나 또는 그 초과의 화학물질 채널들 플러스(plus) 하나 또는 그 초과의 플라즈마 채널들이, 상이한 스페이서 재료들의 플라즈마 보조 원자층 증착(PEALD)을 위해 사용될 수 있다. 예컨대, 산화물 스페이서의 성장은, 알킬아미노 실리콘 전구체 및 산소 플라즈마에 대한 순차적인 노출에 의해 달성될 수 있다. 즉, 증착되고 있는 웨이퍼는, 실리콘 전구체를 갖는 프로세싱 영역, 및 O2 플라즈마를 갖는 프로세싱 영역을 통해, 이동하고 있다. 이러한 2개의 영역들 사이의 비활성 가스 퍼징으로 인해, 기체상 혼합/CVD 반응이 ALD 필름 성장에 영향을 미칠 수 없다.
[0025] ALD 시그니처(signature)로 인해, 증착 직후의 필름들은, 3:1 구조 웨이퍼들에 대해, 훌륭한 웨이퍼 내 균일성(< 0.5 % 1 시그마(sigma)) 및 증착 등각성(conformality)(100 %)을 나타낸다. 부가적으로, 웨이퍼 이동 속도에 따라, 필름 성장 레이트는 종래의 시간-기반 ALD 시스템보다 훨씬 더 빠를 수 있다. 스페이서 필름 두께는, ALD 노출들의 사이클들의 수에 의해, 정확하게 제어될 수 있다.
[0026] 초기 스페이서 층 성장 동안의, 특히 산화물 성장 동안의 PR 에칭이, 다이렉트 산소 플라즈마에 의해 감소된다. 본 발명자들은 놀랍게도, 다이렉트 플라즈마를 사용하는 경우에, 플라즈마 채널로부터 방향성 이온 컴포넌트들을 필터링하기 위해 이온 블로커(blocker)가 사용될 수 있다는 것을 발견하였다. 따라서, 산화물 증착 프로세스는 완전히 라디칼 보조되고, 산화물 필름 성장 동안의 PR 에칭이 효과적으로 감소될 수 있다.
[0027] 특정한 스페이서 재료 증착에 대해, 하나 초과의 화학물질이, 상이한 화학물질/플라즈마 채널들을 위해 사용될 수 있다. 예컨대, SiCN의 증착 동안에, 실리콘, 탄소, 및 질소 소스(들)이, 상이한 화학물질 채널들을 통해 공급될 수 있다. 유사하게, 여기에서 사용되는 플라즈마 가스들은, 이온 보조 필름 처리 또는 라디칼 보조 필름 성장과 같은 상이한 기능성들을 실현하기 위해, 상이한 플라즈마 채널들에 대해 상이할 수 있다. PR 슬리밍 프로세스와 유사한 스페이서 에칭에 대해, 하나 또는 그 초과의 플라즈마 채널들이 사용될 수 있다. 사용되는 플라즈마 조건들 및 가스 조성들은, 증착되는 스페이서 재료에 기초하여, 이전의 PEALD 프로세스와 상이할 것이다. 예컨대, 산화물 스페이서에 대해, NF3 기반 플라즈마가 스페이서 에칭을 위해 사용될 수 있다.
[0028] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "기판" 및 "웨이퍼"라는 용어는 교환가능하게 사용되고, 이들 양자 모두는, 프로세스가 작용하는, 표면, 또는 표면의 일부를 지칭한다. 기판에 대한 언급이 또한, 문맥상 명확히 다르게 지시되지 않는 한, 기판의 일부만을 언급할 수 있다는 것을 당업자는 이해할 것이다. 예를 들어, 도 1에 대하여 설명되는 공간적으로 분리된 ALD에서, 각각의 전구체가 기판에 전달되지만, 임의의 개별적인 전구체 스트림이, 임의의 주어진 시간에서, 기판의 일부에만 전달된다. 부가적으로, 기판 상의 증착에 대한 언급은, 하나 또는 그 초과의 필름들 또는 피처(feature)들이 위에 증착 또는 형성된 기판, 및 베어(bare) 기판 양자 모두를 의미할 수 있다.
[0029] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "반응성 가스", "전구체", "반응물(reactant)" 등과 같은 용어들은, 원자 층 증착 프로세스에서 반응적인 종(species)을 포함하는 가스를 의미하기 위해, 교환가능하게 사용된다. 예를 들어, 제 1 "반응성 가스"는 단순히, 기판의 표면 상에 흡착될 수 있고, 제 2 반응성 가스와의 추가적인 화학 반응을 위해 이용가능할 수 있다.
[0030] 도 1은, 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 프로세싱 챔버(100)의 일부의 개략적인 단면도이다. 프로세싱 챔버(100)는 일반적으로, 진공 또는 적어도 저압 조건들 하에서 동작되는 밀봉가능한 인클로저(sealable enclosure)이다. 챔버(100)는, 기판(60)의 상단 표면(61)에 걸쳐 하나 또는 그 초과의 가스들을 분배할 수 있는 가스 분배 어셈블리(30)를 포함한다. 가스 분배 어셈블리(30)는 당업자에게 알려져 있는 임의의 적합한 어셈블리일 수 있고, 설명되는 특정 가스 분배 어셈블리들은, 본 개시내용의 범위를 제한하는 것으로 취해지지 않아야 한다. 가스 분배 어셈블리(30)의 출력 면(output face)은 기판(60)의 제 1 표면(61)을 향한다.
[0031] 본 개시내용의 실시예들에 대해 사용하기 위한 기판들은 임의의 적합한 기판일 수 있다. 몇몇 실시예들에서, 기판은, 강성(rigid)이고 불연속적(discrete)이며 대체로 평탄한 기판이다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 기판에 대해 언급하는 경우에, "불연속적인"이라는 용어는, 기판이 고정된 치수를 갖는 것을 의미한다. 하나 또는 그 초과의 실시예들의 기판은, 200 mm 또는 300 mm 직경의 실리콘 기판과 같은 반도체 기판이다. 몇몇 실시예들에서, 기판은, 실리콘, 실리콘 게르마늄, 갈륨 비소, 질화 갈륨, 게르마늄, 인화 갈륨, 인화 인듐, 사파이어, 또는 실리콘 탄화물 중 하나 또는 그 초과이다.
[0032] 가스 분배 어셈블리(30)는, 기판(60)에 하나 또는 그 초과의 가스 스트림들을 전달하기 위한 복수의 가스 포트들, 및 프로세싱 챔버(100) 밖으로 가스 스트림들을 전달하기 위해 각각의 가스 포트 사이에 배치된 복수의 진공 포트들을 포함한다. 도 1의 실시예에서, 가스 분배 어셈블리(30)는 제 1 전구체 주입기(120), 제 2 전구체 주입기(130), 및 퍼지 가스 주입기(140)를 포함한다. 주입기들(120, 130, 140)은, 메인프레임과 같은 시스템 컴퓨터(미도시)에 의해, 또는 프로그램가능한 논리 제어기와 같은 챔버-특정 제어기에 의해 제어될 수 있다. 전구체 주입기(120)는, 복수의 가스 포트들(125)을 통해, 프로세싱 챔버(100) 내로, 화합물 A의 반응성 전구체의 연속적인(또는 펄스) 스트림을 주입(inject)한다. 전구체 주입기(130)는, 복수의 가스 포트들(135)을 통해, 프로세싱 챔버(100) 내로, 화합물 B의 반응성 전구체의 연속적인(또는 펄스) 스트림을 주입한다. 퍼지 가스 주입기(140)는, 복수의 가스 포트들(145)을 통해, 프로세싱 챔버(100) 내로, 비-반응성 또는 퍼지 가스의 연속적인(또는 펄스) 스트림을 주입한다. 퍼지 가스는 프로세싱 챔버(100)로부터 반응성 재료 및 반응성 부산물들을 제거한다. 퍼지 가스는 전형적으로, 질소, 아르곤, 및 헬륨과 같은 비활성 가스이다. 가스 포트들(145)은, 화합물 B의 전구체로부터 화합물 A의 전구체를 분리시켜서, 전구체들 사이의 교차-오염(cross-contamination)을 피하기 위해, 가스 포트들(125)과 가스 포트들(135) 사이에 배치된다.
[0033] 다른 양상에서, 프로세싱 챔버(100) 내로 전구체들을 주입하기 전에, 원격 플라즈마 소스(미도시)가 전구체 주입기(120) 및 전구체 주입기(130)에 연결될 수 있다. 반응성 종의 플라즈마는, 원격 플라즈마 소스 내의 화합물에 전기장을 인가함으로써 생성될 수 있다. 의도된 화합물들을 활성화시킬 수 있는 임의의 전력 소스가 사용될 수 있다. 예를 들어, DC, 무선 주파수(RF), 및 마이크로파(MW) 기반 방전 기법들을 사용하는 전력 소스들이 사용될 수 있다. RF 전력 소스가 사용되는 경우, RF 전력 소스는 용량성으로 또는 유도성으로 커플링될 수 있다. 활성화는 또한, 열 기반 기법, 가스 브레이크다운(gas breakdown) 기법, 고 에너지 광 소스(예를 들어, UV 에너지), 또는 x-레이 소스에 대한 노출에 의해 생성될 수 있다. 예시적인 원격 플라즈마 소스들은, MKS Instruments, Inc. 및 Advanced 에너지 Industries, Inc.와 같은 벤더(vendor)들로부터 입수가능하다.
[0034] 챔버(100)는 프로세싱 챔버(100)에 연결된 펌핑 시스템(150)을 더 포함한다. 펌핑 시스템(150)은 일반적으로, 하나 또는 그 초과의 진공 포트들(155)을 통해 프로세싱 챔버(100) 밖으로 가스 스트림들을 진공배기시키도록 구성된다. 진공 포트들(155)은, 가스 스트림들이 기판 표면과 반응한 후에, 프로세싱 챔버(100) 밖으로 가스 스트림들을 진공배기시키고, 전구체들 사이의 교차-오염을 추가로 제한하기 위해, 각각의 가스 포트 사이에 배치된다.
[0035] 챔버(100)는, 각각의 포트 사이에서 프로세싱 챔버(100) 상에 배치된 복수의 파티션(partition)들(160)을 포함한다. 각각의 파티션의 하부 부분은, 기판(60)의 제 1 표면(61) 가까이로 연장되고, 예를 들어, 제 1 표면(61)으로부터 약 0.5 mm 또는 그 초과로 연장된다. 이러한 방식으로, 파티션들(160)의 하부 부분들은, 가스 스트림들이 기판 표면과 반응한 후에, 가스 스트림들이 진공 포트들(155)을 향하여 하부 부분들 주위에서 유동하게 허용하기에 충분한 거리 만큼, 기판 표면으로부터 분리된다. 화살표들(198)은 가스 스트림들의 방향을 표시한다. 파티션들(160)이 가스 스트림들에 대한 물리적인 배리어로서 동작하기 때문에, 파티션들(160)은 또한, 전구체들 사이의 교차-오염을 제한한다. 도시된 배열은 단지 예시적인 것일 뿐이고, 본 개시내용의 범위를 제한하는 것으로 취해지지 않아야 한다. 도시된 가스 분배 시스템이 단지 하나의 가능한 분배 시스템일 뿐이고, 다른 타입들의 샤워헤드들 및 가스 분배 어셈블리들이 채용될 수 있다는 것을 당업자는 이해할 것이다.
[0036] 이러한 종류의 (즉, 다수의 가스들이 동시에 기판을 향하여 별개로 유동되는) 원자 층 증착 시스템들은 공간적인 ALD라고 지칭된다. 동작에 있어서, 기판(60)은, 프로세싱 챔버(100)에 (예를 들어, 로봇에 의해) 전달되고, 프로세싱 챔버 내로의 진입 전에 또는 그 후에, 셔틀(65) 상에 배치될 수 있다. 셔틀(65)은, 가스 분배 어셈블리(30) 아래를(또는 위를) 통과하면서, 프로세싱 챔버(100)를 통해, 트랙(70) 또는 어떤 다른 적합한 이동 메커니즘을 따라 이동된다. 도 1에서 도시된 실시예에서, 셔틀(65)은 챔버를 통해 선형 경로로 이동된다. 하기에서 더 설명되는 바와 같이, 도 3은 웨이퍼들이 캐러셀(carousel) 프로세싱 시스템을 통해 원형 경로로 이동되는 실시예를 도시한다.
[0037] 도 1을 다시 참조하면, 기판(60)이 프로세싱 챔버(100)를 통해 이동함에 따라, 기판(60)의 제 1 표면(61)은, 가스 포트들(125)로부터 유래하는 반응성 가스 A, 및 가스 포트들(135)로부터 유래하는 반응성 가스 B, 및 그 사이의 가스 포트들(145)로부터 유래하는 퍼지 가스에 반복적으로 노출된다. 퍼지 가스의 주입은, 다음의 전구체에 기판 표면(61)을 노출시키기 전에, 이전의 전구체로부터의 반응되지 않은 재료를 제거하도록 설계된다. 다양한 가스 스트림들(예를 들어, 반응성 가스들 또는 퍼지 가스)에 대한 각각의 노출 후에, 가스 스트림들은, 펌핑 시스템(150)에 의해 진공 포트들(155)을 통해 진공배기된다. 진공 포트가 각각의 가스 포트의 양 측들 상에 배치될 수 있기 때문에, 가스 스트림들은 양 측들 상에서 진공 포트들(155)을 통해 진공배기된다. 따라서, 가스 스트림들은, 각각의 가스 포트들로부터, 기판(60)의 제 1 표면(61)을 향하여 수직으로 하방으로 유동하고, 기판 표면(61)에 걸쳐 그리고 파티션들(160)의 하부 부분들 주위에서 유동하고, 마지막으로, 진공 포트들(155)을 향하여 상방으로 유동한다. 이러한 방식으로, 각각의 가스는 기판 표면(61)에 걸쳐 균일하게 분배될 수 있다. 화살표들(198)은 가스 유동의 방향을 표시한다. 기판(60)은 또한, 다양한 가스 스트림들에 노출되면서, 회전될 수 있다. 기판의 회전은 형성된 층들에서의 스트립들의 형성을 방지하는데 유용할 수 있다. 기판의 회전은, 연속적일 수 있거나 또는 불연속적인 단계들로 이루어질 수 있고, 기판이 가스 분배 어셈블리(30) 아래를 통과하고 있는 동안에, 또는 기판이 가스 분배 어셈블리(30) 전의 및/또는 후의 영역에 있는 경우에 발생할 수 있다.
[0038] 마지막 가스 포트에 대한 완전한 노출을 보장하기 위해, 가스 분배 어셈블리(30) 후에, 충분한 공간이 일반적으로 제공된다. 기판(60)이 가스 분배 어셈블리(30) 아래를 완전히 통과하였다면, 제 1 표면(61)은 프로세싱 챔버(100)에서의 모든 각각의 가스 포트에 완전히 노출된 것이다. 그 후에, 기판은 반대 방향으로 다시 운반되거나, 또는 앞으로(forward) 운반된다. 기판(60)이 반대 방향으로 이동하는 경우에, 기판 표면은, 제 1 노출과 역순으로, 반응성 가스 A, 퍼지 가스, 및 반응성 가스 B에 다시 노출될 수 있다.
[0039] 기판 표면(61)이 각각의 가스에 노출되는 정도는, 예를 들어, 가스 포트로부터 유래하는 각각의 가스의 유량들, 및 기판(60)의 이동의 레이트에 의해 결정될 수 있다. 일 실시예에서, 각각의 가스의 유량들은, 기판 표면(61)으로부터, 흡착된 전구체들을 제거하지 않도록 제어된다. 각각의 파티션 사이의 폭, 프로세싱 챔버(100) 상에 배치된 가스 포트들의 수, 및 기판이 가스 분배 어셈블리를 횡단하여 통과되는 횟수가 또한, 기판 표면(61)이 다양한 가스들에 노출되는 정도를 결정할 수 있다. 결과적으로, 증착된 필름의 양 및 품질은 위에서-참조된 요인들을 변화시킴으로써 최적화될 수 있다.
[0040] 프로세스의 설명이, 가스 분배 어셈블리 아래에 포지셔닝된 기판을 향하여 하방으로 가스의 유동을 지향시키는 가스 분배 어셈블리(30)에 대해 이루어졌지만, 이러한 배향(orientation)은 제한적이지 않고, 상이할 수 있다. 몇몇 실시예들에서, 가스 분배 어셈블리(30)는 기판 표면을 향하여 상방으로 가스의 유동을 지향시킨다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "횡단하여 통과된(passed across)"이라는 용어는, 기판의 전체 표면이 가스 분배 플레이트로부터의 각각의 가스 스트림에 노출되도록, 기판이 가스 분배 어셈블리의 하나의 측으로부터 다른 측으로 이동된 것을 의미한다. 부가적인 설명의 부재 시에, "횡단하여 통과된"이라는 용어는, 가스 분배 어셈블리들, 가스 유동들, 또는 기판 위치들의 임의의 특정한 배향을 암시하지 않는다.
[0041] 몇몇 실시예들에서, 셔틀(65)은 기판(60)을 운반하기 위한 서셉터(66)이다. 일반적으로, 서셉터(66)는, 기판에 걸쳐 균일한 온도를 형성하는 것을 돕는 캐리어이다. 서셉터(66)는, (도 1의 배열에 관하여, 좌측에서 우측으로의 그리고 우측에서 좌측으로의) 양 방향들로 이동가능하거나, 또는 (도 3에 관하여) 원형 방향으로 이동가능하다. 서셉터(66)는 기판(60)을 운반하기 위한 상단 표면(67)을 갖는다. 서셉터(66)는, 기판(60)이 프로세싱을 위해 가열될 수 있도록, 가열형 서셉터일 수 있다. 예로서, 서셉터(66)는, 서셉터(66) 아래에 배치된, 복사 열 램프들(90), 가열 플레이트, 저항성 코일들, 또는 다른 가열 디바이스들에 의해 가열될 수 있다.
[0042] 또 다른 실시예에서, 도 2에 도시된 바와 같이, 서셉터(66)의 상단 표면(67)은 기판(60)을 수용하기 위한 오목부(recess)(68)를 포함한다. 일반적으로, 서셉터(66)는 기판의 두께 보다 더 두꺼우며, 그에 따라 기판의 아래에 서셉터 재료가 존재하게 된다. 몇몇 실시예들에서, 기판(60)이 오목부(68) 내에 배치될 때, 기판(60)의 제 1 표면(61)이 서셉터(66)의 상단 표면(67)과 같은 높이가 되도록 또는 실질적으로 동일 평면 상에 있도록, 오목부(68)의 크기가 정해진다(sized). 다르게 설명하면, 기판(60)이 내부에 배치될 때, 기판(60)의 제 1 표면(61)이 서셉터(66)의 상단 표면(67) 위로 돌출하지 않도록, 몇몇 실시예들의 오목부(68)의 크기가 정해진다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 동일 평면 상"이라는 용어는, 웨이퍼의 상단 표면과 서셉터 어셈블리의 상단 표면이 ±0.2 mm 내에서 동일 평면 상에 있는 것을 의미한다. 몇몇 실시예들에서, 상단 표면들은, ±0.15 mm, ±0.10 mm, 또는 ±0.05 mm 내에서 동일 평면 상에 있다.
[0043] 도 1은, 개별적인 가스 포트들이 도시된, 프로세싱 챔버의 단면도를 도시한다. 이러한 실시예는, 개별적인 가스 포트들의 폭이 가스 분배 플레이트의 전체 폭에 걸쳐 실질적으로 동일한 선형 프로세싱 시스템, 또는 개별적인 가스 포트들이, 파이 형상과 일치하기 위해 폭을 변화시키는 파이-형상 세그먼트일 수 있다. 도 3은, 파이-형상 가스 분배 어셈블리(30)의 일부를 도시한다. 기판은 이러한 가스 분배 어셈블리(30)를 가로질러서 호(arc) 형상 경로(32)로 통과될 것이다. 각각의 개별적인 가스 포트들(125, 135, 145, 155)은 가스 분배 어셈블리(30)의 내측 주변 에지(33) 근처에서 더 좁은 폭을 가지며, 가스 분배 어셈블리(30)의 외측 주변 에지(34) 근처에서 더 큰 폭을 갖는다. 개별적인 포트들의 형상 또는 종횡비는, 가스 분배 어셈블리(30) 세그먼트의 형상 또는 종횡비에 비례할 수 있거나, 또는 가스 분배 어셈블리(30) 세그먼트의 형상 또는 종횡비와 상이할 수 있다. 몇몇 실시예들에서, 개별적인 포트들은, 경로(32)를 따라 가스 분배 어셈블리(30)를 횡단하여 통과하는 웨이퍼의 각각의 지점이, 각각의 가스 포트 아래에서 대략 동일한 체류 시간을 갖게 되도록, 형상화된다(shaped). 기판들의 경로는 가스 포트들에 대해 수직적일 수 있다. 몇몇 실시예들에서, 가스 분배 어셈블리들 각각은, 기판에 의해 횡단되는 경로에 대해 실질적으로 수직적인 방향으로 연장되는 복수의 세장형 가스 포트들을 포함한다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 수직적인"이라는 용어는, 이동의 대략적인 방향이, 가스 포트들의 축에 대해 대략적으로 수직적인 것을 의미한다. 파이-형상 가스 포트의 경우에, 가스 포트의 축은, 포트의 폭의 중간-지점이 포트의 길이를 따라 연장되는 것으로서 정의되는 라인인 것으로 고려될 수 있다. 아래에서 추가로 설명되는 바와 같이, 개별적인 파이-형상 세그먼트들 각각은, 단일 반응성 가스를 전달하거나, 또는 다수의 반응성 가스들을 공간적으로 분리시켜서 또는 조합하여(예를 들어, 전형적인 CVD 프로세스에서와 같이) 전달하도록 구성될 수 있다.
[0044] 다수의 가스 주입기들을 갖는 프로세싱 챔버들은, 웨이퍼들이 동일한 프로세스 플로우를 겪도록, 다수의 웨이퍼들을 동시에 프로세싱하기 위해 사용될 수 있다. 예를 들어, 도 4에서 도시된 바와 같이, 프로세싱 챔버(100)는 4개의 가스 분배 어셈블리들(30) 및 4개의 기판들(60)을 갖는다. 프로세싱의 초기에, 기판들(60)은 가스 분배 어셈블리들(30) 사이에 포지셔닝될 수 있다. 45°만큼 캐러셀의 서셉터(66)를 회전시키는 것은, 각각의 기판(60)이, 필름 증착을 위해 가스 분배 어셈블리(30)(또한 주입기 어셈블리라고도 지칭됨)로 이동되도록 초래할 것이다. 이는 도 4에서 도시된 위치이다. 부가적인 45°회전은 기판들(60)을 가스 분배 어셈블리들(30)로부터 벗어나게 이동시킬 것이다. 공간적인 ALD 주입기들의 경우, 주입기 어셈블리에 관한 웨이퍼의 이동 동안에, 웨이퍼 상에 필름이 증착된다. 몇몇 실시예들에서, 서셉터(66)는, 기판들(60)이 가스 분배 어셈블리들(30) 아래에서 정지하지 않도록 회전된다. 기판들(60) 및 가스 분배 어셈블리들(30)의 수는 동일할 수 있거나 또는 상이할 수 있다. 몇몇 실시예들에서, 프로세싱되고 있는 웨이퍼들의 수는 가스 분배 어셈블리들의 수와 동일하다. 하나 또는 그 초과의 실시예들에서, 프로세싱되고 있는 웨이퍼들의 수는, 가스 분배 어셈블리들의 수의 정수 배수이다. 예를 들어, 4개의 가스 분배 어셈블리들이 존재하는 경우에, 프로세싱되고 있는 4x개의 웨이퍼들이 존재하고, 여기에서, x는 1과 동등한 또는 그 초과의 정수 값이다.
[0045] 도 4에서 도시된 프로세싱 챔버(100)는 단지, 하나의 가능한 구성을 표현하는 것일 뿐이고, 본 개시내용의 범위를 제한하는 것으로 취해지지 않아야 한다. 여기에서, 프로세싱 챔버(100)는 복수의 가스 분배 어셈블리들(30)을 포함한다. 도시된 실시예에서, 프로세싱 챔버(100) 주위에 균등하게 이격된 4개의 가스 분배 어셈블리들(30)이 존재한다. 도시된 프로세싱 챔버(100)는 팔각형이지만, 이는 하나의 가능한 형상이고, 본 개시내용의 범위를 제한하는 것으로 취해지지 않아야 한다는 것을 당업자는 이해할 것이다. 도시된 가스 분배 어셈블리들(30)은 직사각형이지만, 가스 분배 어셈블리들이 도 3에 도시된 것과 같은 파이-형상 세그먼트들일 수 있다는 것을 당업자는 이해할 것이다. 부가적으로, 각각의 세그먼트는, 동일한 세그먼트로부터 다수의 상이한 반응성 가스들이 유동하면서, 공간적인 타입 배열로 가스들을 전달하도록 구성될 수 있거나, 또는 단일 반응성 가스, 또는 반응성 가스들의 혼합물을 전달하도록 구성될 수 있다.
[0046] 프로세싱 챔버(100)는, 둥근 서셉터(66) 또는 서셉터 어셈블리로서 도시된 기판 지지 장치를 포함한다. 기판 지지 장치 또는 서셉터(66)는, 가스 분배 어셈블리들(30) 각각 아래에서 복수의 기판들(60)을 이동시킬 수 있다. 로드 락(82)은, 기판들(60)이 챔버(100) 내로 로딩되도록/챔버(100)로부터 언로딩되도록 허용하기 위해, 프로세싱 챔버(100)의 측면에 연결될 수 있다.
[0047] 프로세싱 챔버(100)는, 복수의 가스 분배 어셈블리들(30) 각각 또는 복수의 가스 분배 어셈블리들(30) 중 임의의 것 사이에 포지셔닝된, 복수의 제 1 처리 스테이션들(80), 또는 제 1 처리 스테이션들(80)의 세트를 포함할 수 있다. 몇몇 실시예들에서, 제 1 처리 스테이션들(80) 각각은 기판(60)에 동일한 처리를 제공한다.
[0048] 처리 스테이션들의 수, 및 상이한 타입들의 처리 스테이션들의 수는, 프로세스에 따라 변화될 수 있다. 예를 들어, 가스 분배 어셈블리들(30) 사이에 포지셔닝된, 1개, 2개, 3개, 4개, 5개, 6개, 7개, 또는 그 초과의 처리 스테이션들이 존재할 수 있다. 각각의 처리 스테이션들은 독립적으로, 처리 스테이션의 하나 걸러의 세트마다 상이한 처리를 제공할 수 있거나, 또는 동일한 타입 및 상이한 타입들의 처리들의 혼합이 존재할 수 있다. 몇몇 실시예들에서, 개별적인 처리 스테이션들 중 하나 또는 그 초과는, 다른 개별적인 처리 스테이션들 중 하나 또는 그 초과와 상이한 처리를 제공한다. 도 4에 도시된 실시예는, 공간들을 중간에 갖는 4개의 가스 분배 어셈블리들을 도시하며, 이러한 공간들은 몇몇 타입의 처리 스테이션을 포함할 수 있다. 하지만, 프로세싱 챔버는, 중간에 가스 커튼들을 갖는 8개의 가스 분배 어셈블리들을 갖도록 용이하게 구체화될(incorporated) 수 있다.
[0049] 도 5에 도시된 실시예에서, 제 2 처리 스테이션들(85)의 세트가 제 1 처리 스테이션들(80)과 가스 분배 어셈블리들(30) 사이에 포지셔닝되며, 그에 따라, 프로세싱 챔버(100)를 통해 회전되는 기판(60)은, 기판(60)이 어디에서 시작하느냐에 따라서, 가스 분배 어셈블리(30), 제 1 처리 스테이션(80) 및 제 2 처리 스테이션(85) 중 임의의 것의 두 번째 것을 마주치기(encounter) 전에, 가스 분배 어셈블리(30), 제 1 처리 스테이션(80) 및 제 2 처리 스테이션(85)을 마주치게 될 것이다. 예를 들어, 도 5에 도시된 바와 같이, 기판이 제 1 처리 스테이션(80)에서 시작되는 경우, 기판은, 순서대로, 다른 제 1 처리 스테이션(80)을 마주치기 전에, 제 1 처리 스테이션(80), 가스 분배 어셈블리(30) 및 제 2 처리 스테이션(85)에 노출될 것이다.
[0050] 처리 스테이션들은, 기판, 기판 상의 필름, 또는 서셉터 어셈블리에 임의의 적합한 타입의 처리를 제공할 수 있다. 예를 들어, 이는, UV 램프들, 플래시 램프들, 플라즈마 소스들, 및 가열기들이다. 그 후에, 웨이퍼들은, 가스 분배 어셈블리들(30)에 대한 위치들과, 예를 들어 웨이퍼에 플라즈마를 전달하는 샤워헤드에 대한 위치 사이에서 이동된다. 플라즈마 스테이션은 처리 스테이션(80)이라고 지칭된다. 하나 또는 그 초과의 예에서, 실리콘 질화물 필름들은, 각각의 증착 층 후에, 플라즈마 처리로 형성될 수 있다. 이론적으로, ALD 반응은, 표면이 포화되는 한, 자기-제한적(self-limiting)이므로, 증착 가스에 대한 부가적인 노출은 필름을 손상시키지 않을 것이다.
[0051] 캐러셀의 회전은 연속적일 수 있거나 또는 불연속적일 수 있다. 연속적인 프로세싱에서, 웨이퍼들은, 이들이 주입기들 각각에 차례로 노출되도록, 계속 회전한다. 불연속적인 프로세싱에서, 웨이퍼들은, 주입기 영역으로 이동되고 정지될 수 있고, 그 후에, 주입기들 사이의 영역(84)으로 이동되고 정지될 수 있다. 예를 들어, 캐러셀은, 웨이퍼들이 주입기-간(inter-injector) 영역으로부터 주입기를 횡단하고(또는, 주입기 근처에서 정지하고), 회전이 다시 멈출 수 있는 다음 주입기-간 영역으로 이동하도록, 회전할 수 있다. 주입기들 사이에서 멈추는 것은, 각각의 층 증착 사이의 부가적인 프로세싱(예를 들어, 플라즈마에 대한 노출)을 위한 시간을 제공할 수 있다.
[0052] 몇몇 실시예들에서, 프로세싱 챔버는 복수의 가스 커튼들(40)을 포함한다. 각각의 가스 커튼(40)은, 가스 분배 어셈블리들(30)로부터의 프로세싱 가스들의 이동이 가스 분배 어셈블리 영역들로부터 이동하는 것, 및 처리 스테이션들(80)로부터의 가스들이 처리 스테이션 영역들로부터 이동하는 것을 방지하거나 또는 최소화하기 위한 배리어를 생성한다. 가스 커튼(40)은, 개별적인 프로세싱 섹션들을 인접한 섹션들로부터 격리시킬 수 있는, 가스 및 진공 스트림들의 임의의 적합한 조합을 포함할 수 있다. 몇몇 실시예들에서, 가스 커튼(40)은 퍼지(또는 비활성) 가스 스트림이다. 하나 또는 그 초과의 실시예들에서, 가스 커튼(40)은 프로세싱 챔버로부터 가스들을 제거하는 진공 스트림이다. 몇몇 실시예들에서, 가스 커튼(40)은, 순서대로, 퍼지 가스 스트림, 진공 스트림, 및 퍼지 가스 스트림이 존재하도록 하는, 퍼지 가스 및 진공 스트림들의 조합이다. 하나 또는 그 초과의 실시예들에서, 가스 커튼(40)은, 순서대로, 진공 스트림, 퍼지 가스 스트림, 및 진공 스트림이 존재하도록 하는, 진공 스트림들 및 퍼지 가스 스트림들의 조합이다. 도 4에서 도시된 가스 커튼들(40)은 처리 스테이션들(80)과 가스 분배 어셈블리들(30) 각각 사이에 포지셔닝되지만, 커튼들은, 프로세싱 경로를 따르는 임의의 지점 또는 지점들에 포지셔닝될 수 있다.
[0053] 도 6은, 주입기들이라고 또한 지칭되는 가스 분배 어셈블리(220), 및 서셉터 어셈블리(230)를 포함하는 프로세싱 챔버(200)의 실시예를 도시한다. 이러한 실시예에서, 서셉터 어셈블리(230)는 강성 바디(body)이다. 몇몇 실시예들의 강성 바디는 0.05 mm 이하의 드룹(droop) 허용오차를 갖는다. 액추에이터들(232)은, 예를 들어, 서셉터 어셈블리(230)의 외측 직경 영역에서의 3개의 위치들에 배치된다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "외측 직경" 및 "내측 직경"이라는 용어들은, 외측 주변 에지 및 내측 에지 각각 근처의 영역들을 지칭한다. 외측 직경은 서셉터 어셈블리(230)의 말단 외측 에지(예를 들어, 샤프트(240) 근처)에서의 특정 위치에 대한 것이 아니고, 서셉터 어셈블리(230)의 외측 에지(231) 근처의 영역에 대한 것이다. 이는, 도 6에서, 액추에이터들(232)의 배치로부터 볼 수 있다. 액추에이터들(232)의 수는, 1개로부터, 이용가능한 물리적인 공간 내에서 적합할 임의의 수까지 변화될 수 있다. 몇몇 실시예들은, 외측 직경 영역(231)에 포지셔닝된 액추에이터들(232)의 2개, 3개, 4개, 또는 5개의 세트들을 갖는다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "액추에이터"라는 용어는, 서셉터 어셈블리의 적어도 일부를 가스 분배 어셈블리(220)를 향하여, 또는 가스 분배 어셈블리(220)로부터 멀어지게 이동시킬 수 있는 임의의 단일 또는 다중-컴포넌트 메커니즘을 지칭한다. 예를 들어, 액추에이터들(232)은, 서셉터 어셈블리(230)가 주입기 어셈블리(220)에 대해 실질적으로 평행한 것을 보장하기 위해 사용될 수 있다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 이와 관련하여 사용되는 "실질적으로 평행한"이라는 용어는, 컴포넌트들의 평행성이 컴포넌트들 사이의 거리에 관하여 5 % 초과만큼 변화되지 않는 것을 의미한다.
[0054] 액추에이터들(232)로부터 서셉터 어셈블리(230)에 압력이 가해지면, 서셉터 어셈블리(230)는 레벨링될(levelled) 수 있다. 액추에이터들(232)에 의해 압력이 가해지면, 갭(210)의 거리는, 약 0.1 mm 내지 약 2.0 mm의 범위에 있도록, 또는 약 0.2 mm 내지 약 1.8 mm의 범위에 있도록, 또는 약 0.3 mm 내지 약 1.7 mm의 범위에 있도록, 또는 약 0.4 mm 내지 약 1.6 mm의 범위에 있도록, 또는 약 0.5 mm 내지 약 1.5 mm의 범위에 있도록, 또는 약 0.6 mm 내지 약 1.4 mm의 범위에 있도록, 또는 약 0.7 mm 내지 약 1.3 mm의 범위에 있도록, 또는 약 0.8 mm 내지 약 1.2 mm의 범위에 있도록, 또는 약 0.9 mm 내지 약 1.1 mm의 범위에 있도록, 또는 약 1 mm이도록, 설정될 수 있다.
[0055] 서셉터 어셈블리(230)는 가스 분배 어셈블리(220) 아래에 포지셔닝된다. 서셉터 어셈블리(230)는, 상단 표면(241), 및 선택적으로, 상단 표면(241) 내의 적어도 하나의 오목부(243)를 포함한다. 오목부(243)는, 프로세싱되고 있는 웨이퍼들(260)의 형상 및 크기에 따라, 임의의 적합한 형상 및 크기일 수 있다. 도시된 실시예에서, 오목부(243)는 오목부(243)의 외측 주변 에지 주위에 스텝(step) 영역을 갖는다. 스텝들은 웨이퍼(260)의 외측 주변 에지를 지지하도록 크기가 정해진다. 스텝들에 의해 지지되는, 웨이퍼(260)의 외측 주변 에지의 정도(amount)는, 예를 들어, 웨이퍼의 배면 상에 이미 존재하는 피처들의 존재, 및 웨이퍼의 두께에 따라, 변화될 수 있다.
[0056] 몇몇 실시예들에서, 도 6에서 도시된 바와 같이, 서셉터 어셈블리(230)의 상단 표면(241) 내의 오목부(243)는, 오목부(243) 내에 지지되는 웨이퍼(260)가 서셉터 어셈블리(230)의 상단 표면(241)과 실질적으로 동일 평면 상에 있는 상단 표면(261)을 갖도록, 크기가 정해진다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 동일 평면 상"이라는 용어는, 웨이퍼의 상단 표면과 서셉터 어셈블리의 상단 표면이 ±0.2 mm 내에서 동일 평면 상에 있음을 의미한다. 몇몇 실시예들에서, 상단 표면들은, ±0.15 mm, ±0.10 mm, 또는 ±0.05 mm 내에서 동일 평면 상에 있다.
[0057] 도 6의 서셉터 어셈블리(230)는, 서셉터 어셈블리(230)를 리프팅할 수 있고, 하강시킬 수 있고, 회전시킬 수 있는 지지 포스트(240)를 포함한다. 서셉터 어셈블리(230)는, 지지 포스트(240)의 중심부 내에 가열기, 또는 가스 라인들, 또는 전기 컴포넌트들을 포함할 수 있다. 지지 포스트(240)는, 서셉터 어셈블리(230)를 대략적인 위치로 이동시켜서, 서셉터 어셈블리(230)와 가스 분배 어셈블리(220) 사이의 갭을 증가시키거나 또는 감소시키는 주된 수단일 수 있다. 그 후에, 액추에이터들(232)은, 미리 결정된 갭을 생성하기 위해, 서셉터 어셈블리의 위치에 대한 마이크로-조정들을 행할 수 있다.
[0058] 도 6에서 도시된 프로세싱 챔버(200)는, 서셉터 어셈블리(230)가 복수의 웨이퍼들(260)을 홀딩(hold)할 수 있는 캐러셀-타입 챔버이다. 가스 분배 어셈블리(220)는 복수의 별개의 주입기 유닛들(221)을 포함할 수 있고, 각각의 주입기 유닛(221)은, 웨이퍼가 주입기 유닛(221) 아래로 이동됨에 따라, 웨이퍼(260) 상에 필름, 또는 필름의 파트(part)를 증착할 수 있다. 도 7은, 캐러셀-타입 프로세싱 챔버(200)의 투시도를 도시한다. 2개의 파이-형상 주입기 유닛들(221)이, 서셉터 어셈블리(230) 위에 그리고 서셉터 어셈블리(230)의 대략적으로 대향하는 측들 상에 포지셔닝된 것으로 도시된다. 이러한 수의 주입기 유닛들(221)은 단지 예시적인 목적들을 위해 도시된다. 하지만, 더 많거나 또는 더 적은 주입기 유닛들(221)이 포함될 수 있다. 몇몇 실시예들에서, 서셉터 어셈블리(230)의 형상과 일치하는 형상을 형성하기에 충분한 수의 파이-형상 주입기 유닛들(221)이 존재한다. 몇몇 실시예들에서, 개별적인 파이-형상 주입기 유닛들(221) 각각은, 다른 주입기 유닛들(221) 중 어느 것에도 영향을 미치지 않으면서, 독립적으로 이동, 제거, 및/또는 교체될 수 있다. 예를 들어, 로봇이 웨이퍼들(260)을 로딩/언로딩하기 위해 서셉터 어셈블리(230)와 가스 분배 어셈블리(220) 사이의 영역을 액세스하게 허용하도록, 하나의 세그먼트가 상승될 수 있다.
[0059] 도 8은, 서셉터 어셈블리(230)가 강성 바디가 아닌, 본 개시내용의 다른 실시예를 도시한다. 몇몇 실시예들에서, 서셉터 어셈블리(230)는, 약 0.1 mm 이하, 또는 약 0.05 mm 이하, 또는 약 0.025 mm 이하, 또는 약 0.01 mm 이하의 드룹 허용오차를 갖는다. 여기서, 서셉터 어셈블리(230)의 내측 직경 영역(239) 및 외측 직경 영역(231)에 배치되는 액추에이터들(232)이 존재한다. 액추에이터들(232)은, 서셉터 어셈블리(230)의 내측 및 외측 주변부 주위의 임의의 적합한 수의 장소(배치e)들에 포지셔닝될 수 있다. 몇몇 실시예들에서, 액추에이터들(232)은, 외측 직경 영역(231) 및 내측 직경 영역(239) 양자 모두에서의 3개의 위치들에 배치된다. 외측 직경 영역(231) 및 내측 직경 영역(239) 양자 모두에서의 액추에이터들(232)은 서셉터 어셈블리(230)에 압력을 가한다.
[0060] 도 9는 다이버터(diverter) 및 서셉터 어셈블리와 함께 원형 가스 분배 어셈블리를 포함하는 프로세싱 챔버의 실시예를 도시한다. 원형 가스 분배 어셈블리(220)(그 일부를 도 9에서 볼 수 있음)는 프로세싱 챔버 내에 포지셔닝되며, 그리고 가스 분배 어셈블리(220)의 전방 면(225)에 복수의 세장형 가스 포트들(125, 135, 145)을 포함한다. 복수의 세장형 가스 포트들(125, 135, 145)은, 가스 분배 어셈블리(220)의 내측 주변 에지(227)에 인접한 구역으로부터, 외측 주변 에지(228)에 인접한 구역을 향하여 연장된다. 도 9에 도시된 복수의 가스 포트들은, 제 1 반응성 가스 포트(125), 제 2 반응성 가스 포트(135), 제 1 반응성 가스 포트들 및 제 2 반응성 가스 포트들 각각을 둘러싸는 퍼지 가스 포트(145), 및 진공 포트들(155)을 포함한다.
[0061] 서셉터 어셈블리(230)는, 중심 축을 중심으로 실질적으로 원형의 경로로 적어도 하나의 기판을 회전시키기 위해 프로세싱 챔버 내에 포지셔닝된다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 원형"이라는 용어는, 기판이 완전한(full) 회전을 완료하는 경우, 경로가 원형이 되도록 의도됨을 의미한다. 서셉터 어셈블리는, 내측 주변 에지(229) 및 외측 주변 에지(231)에 의해 정의되는, (도 8에 도시된 바와 같은) 상단 표면(241)을 갖는다. 서셉터 어셈블리(230)는, 서셉터 어셈블리(230)의 상단 표면(241)이 가스 분배 어셈블리(220)의 전방 면(225)을 향하도록, 가스 분배 어셈블리(220) 아래에 포지셔닝된다.
[0062] 본 개시내용의 몇몇 실시예들은 기판을 프로세싱하는 방법들에 관한 것이다. 기판은 복수의 섹션들을 갖는 프로세싱 챔버 내에 배치되고, 각각의 섹션은, 가스 커튼에 의해, 인접하는 섹션들로부터 분리된다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "섹션", "영역" 및 "섹터"라는 용어들은 배치 프로세싱 챔버 내의 구역을 설명하기 위해 교환가능하게 사용된다. 예를 들어, 도 9에 도시된 컴포넌트는 2개의 섹션들을 갖는다. 프로세싱 챔버에 들어가게 되면, 기판(또한 웨이퍼라고도 불림)은 개별적인 섹션들 중 임의의 섹션에 있을 수 있다. 각각의 섹션은, 인접하는 섹션들과 동일하거나 또는 상이한 프로세싱 조건들을 가질 수 있다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "프로세싱 조건"이라는 용어는 개별적인 섹션 내에서의 조건들 전체를 의미한다. 예를 들어, 프로세싱 조건들은, 비제한적으로, 가스 조성, 압력, 유량, 온도 및 플라즈마를 포함한다. 프로세싱 조건들은, 예를 들어, 증착, 에칭 및 처리(예를 들어, 치밀화(densification), 어닐링)에 대해 설정될(configured) 수 있다.
[0063] 제 1 섹션에서, 기판, 또는 기판의 일부가, 기판의 표면에 제 1 필름을 증착하기 위해, 제 1 프로세스 조건에 노출된다. 기판 표면은 베어(bare) 기판 표면일 수 있거나 또는 표면 상에 이전에 증착된 임의의 층일 수 있다. 예를 들어, 하나의 파트는 금속이고 다른 파트는 유전체인 상태로, 표면은 혼합된 조성(mixed composition)을 가질 수 있다. 개별적인 표면 조성을 달라질 수 있으며, 본 개시내용의 범위를 제한하는 것으로 취해지지 않아야 한다. 제 1 섹션에서의 제 1 프로세스 조건들은, 온도 변화 또는 제 1 반응성 가스 중에서 하나 또는 그 초과를 포함한다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 프로세싱 챔버의 다른 섹션들 뿐만 아니라, 제 1 프로세스 조건들에서의 제 1 반응성 가스의 사용은, 반응성 가스의 조성, 압력, 유량들, 다이렉트 플라즈마, 원격 플라즈마 및 이들의 조합들을 의미한다.
[0064] 형성되거나 증착되는 필름들 중 임의의 필름은, 금속 또는 유전체 필름과 같은 완전한(complete) 필름일 수 있거나, 또는 2-단계 반응의 첫 번째 절반에서와 같이 부분적인(partial) 필름일 수 있다. 부분적인 필름의 예는 기판 표면에 대한 화합물의 화학 흡착(chemisorption)일 것이며, 이는 이후, 최종 필름을 생성하기 위해 환원 또는 산화될 것이다. 제 1 필름은, 원자 층 증착 프로세스의 파트이거나(여기서, 제 1 필름은 부분적인 또는 완전한 필름이다), 또는 화학 기상 증착 프로세스의 파트일 수 있다. CVD 프로세스에서, 제 1 프로세스 조건들은, 이후 기판 표면 상에 증착되는 활성화된 종(activated species)을 생성하기 위해 기체상으로 반응하는 반응성 가스들의 혼합물을 포함할 수 있다. 몇몇 프로세스들에서, 어떠한 섹션에서 형성되는 필름은, 그 섹션에 들어오는 필름 보다 개선된 품질들을 갖는다. 예를 들어, 제 3 섹션에서 형성되는 필름은 제 4 섹션에서 치밀화 프로세스에 노출될 수 있다. 형성되는 필름은, 화학적 프로세스, 물리적 프로세스, 또는 이들의 조합으로부터 비롯될 수 있다.
[0065] 제 1 필름의 형성 이후, 기판은, 가스 커튼을 통해, 프로세싱 챔버의 제 2 섹션으로 측방향으로 이동된다. 제 2 섹션에서, 제 1 필름은 제 2 필름을 형성하기 위해 제 2 프로세스 조건들에 노출된다. 제 2 프로세스 조건들은, 제 2 필름을 형성하기 위해 제 2 반응성 가스 또는 온도 변화 중 하나 또는 그 초과를 포함한다. 제 2 필름은, 2-파트 반응(two-part reaction)의 제 2 절반에서와 같이, 제 1 필름과 상이한 조성일 수 있거나, 또는 혼합된 필름에서와 같이, 완전히 상이한 조성을 갖는 필름일 수 있다.
[0066] 제 1 섹션으로부터 제 2 섹션으로 이동하는 동안, 기판은 제 1 프로세스 조건들, 제 2 프로세스 조건들 및 이 둘을 분리하는 가스 커튼에 노출된다. 제 1 프로세스 조건들과 제 2 프로세스 조건들 사이에, 만일 있더라도, 최소의 기체상 반응이 있도록 보장하기 위해, 가스 커튼은, 예를 들어, 진공과 비활성 가스들의 조합일 수 있다. 이동 중의 어떠한 시간에서, 표면의 파트는 제 1 프로세스 조건들에 노출되고, 표면의 다른 파트는 제 2 프로세스 조건들에 노출되며, 그리고 나머지 2개의 부분들 사이의, 기판의 중간 부분은 가스 커튼에 노출된다.
[0067] 제 1 프로세스 조건들, 제 2 프로세스 조건들 및 임의의 다른 프로세스 조건들 각각은, 제 1 반응성 가스를 포함하는 단일의 반응성 가스, 제 1 반응성 가스를 포함하는 반응성 가스들의 혼합물, 제 1 반응성 가스를 포함하는 원격 플라즈마, 제 1 반응성 가스를 포함하는 다이렉트 플라즈마, 온도 변화 및 이들의 조합들로 이루어진 그룹으로부터 선택된다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "다이렉트 플라즈마"라는 용어는 프로세싱 챔버 내에서 점화되는(ignited) 플라즈마를 의미한다. "원격 플라즈마"라는 용어는, 프로세싱 챔버 바깥쪽에서 점화되어 프로세싱 챔버 내로 유동되는 플라즈마를 의미한다.
[0068] 제 1 프로세스 조건들 및 제 2 프로세스 조건들에 대한 노출은, 미리 결정된 두께의 필름을 성장시키기 위해 순차적으로 반복될 수 있다. 예를 들어, 배치 프로세싱 챔버는, 제 1 프로세스 조건들을 갖는 2개의 섹션들 및 제 2 프로세스 조건들의 2개의 섹션들을 교번하는 패턴(alternating pattern)으로 포함할 수 있으며, 그에 따라, 프로세싱 챔버의 중심 축을 중심으로 한 기판의 회전은 표면으로 하여금 제 1 및 제 2 프로세스 조건들에 순차적으로 그리고 반복적으로 노출되게 하고, 각각의 노출은 (증착들을 위한) 필름 두께가 성장되게 한다.
[0069] 도 10a 내지 도 10f는, 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 전형적인 자기-정렬 이중 패터닝(SADP) 프로세스를 도시한다. 도시되고 설명되는 프로세스는, 임의의 적합한 산화물들, 유전체들, 포토레지스트들, 및/또는 금속 층들로 수행될 수 있다. 도 10a에서, 기판(900)은 유전체(910)로 레이어링되고(layered), 포토레지스트(920)로 패터닝된다. 유전체(910)가 기판(900) 상에 증착되고, 그러한 유전체(910) 상에 포토레지스트(920)가 있는 것으로 도시되어 있지만, 당업자는, 기판(900)과 유전체(910) 사이에 개재하는 층들이 존재할 수 있거나, 또는 유전체(910)와 포토레지스트(920) 사이에 층들이 존재할 수 있다는 것을 이해할 것이다. 부가적으로, 유전체(910) 층은 상이한 재료(예컨대, 금속 층)일 수 있다.
[0070] 도 10b에 도시된 바와 같이, 포토레지스트의 측들(921)을 에칭하기 위해, 포토레지스트(920)가 플라즈마에 노출될 수 있다. 포토레지스트(920)의 측들을 에칭함으로써, 포토레지스트의 폭이 감소되어, 포토레지스트가 더 슬림하게 되고, 유전체(910)의 더 큰 면적이 노출된다. 이러한 프로세스는, 포토레지스트 슬리밍 또는 PR 슬리밍이라고 지칭된다.
[0071] 도 10c에 도시된 바와 같이, 포토레지스트(920)의 상단(922) 및 측들(921)이 스페이서 필름(930)으로 등각적으로 코팅되도록, 포토레지스트(920) 및 유전체(910)의 노출된 표면 위에, 스페이서 필름(930)이 증착된다. 스페이서 필름은, 산화물 필름을 포함하지만 이에 제한되지는 않는 임의의 적합한 재료로 제조될 수 있다.
[0072] 도 10d에서, 스페이서 필름(930)이 수평 표면들로부터 에칭되었다. 이는, 포토레지스트(920)의 상단(922)이 노출되고, 유전체(910) 표면의 파트가 노출되는 것을 의미한다. 도 10e에서, 오리지널(original) 패터닝된 포토레지스트(920)가 에칭되어, 스페이서 필름(930)의 남겨진 부분만을 남긴다. 기판(900)은 가이드로서 스페이서들을 사용하여 에칭될 수 있고, 나머지 유전체(910) 및 스페이서 필름(930)은 박리되어, 도 10f에서의 에칭된 기판(900)을 제공한다. 유전체와 같은, 본원에서 설명되는 필름들 사이의 선택성(selectivity)이, 이러한 프로세스가 수행되게 허용한다. 선택성이 불충분한 경우에, 스페이서 필름의 증착 전에, 포토레지스트 상에 SiON과 같은 캡이 배치될 수 있다. 이러한 캡들은, 패터닝된 포토레지스트를 의도적이지 않게 에칭하는 것을 방지한다.
[0073] 따라서, 도 10a 내지 도 10f에 관하여, 본 개시내용의 하나 또는 그 초과의 실시예들은 프로세싱 방법들에 관한 것이다. 유전체(910)일 수 있는 제 1 층, 및 포토레지스트(920)일 수 있는 패터닝된 층을 갖는 기판(900)이 제공된다. 제 1 층이 유전체일 필요는 없고, 패터닝된 층이 포토레지스트일 필요는 없지만, 이러한 용어들은 설명의 편의를 위해 사용된다. 제 1 층의 부분들은 패터닝된 층을 통해 노출되고, 그에 따라, 기판을 내려다볼 때, 제 1 층 및 패터닝된 층 양자 모두가 동시에 보인다.
[0074] 패터닝된 층은, 상단 표면(922), 및 폭(W1)을 정의하는 2개의 측들(921)(즉, 수직 면들)을 갖는 적어도 하나의 피처를 포함한다. 폭(W1)은 패터닝된 층에 대한 임의의 적합한 폭일 수 있다. 몇몇 실시예들에서, 적어도 하나의 피처의 폭은, 약 200 Å 내지 약 800 Å의 범위, 또는 약 300 Å 내지 약 700 Å의 범위, 또는 약 400 Å 내지 약 600 Å의 범위에 있다.
[0075] 기판 상에 존재하는 피처 또는 피처들은 임의의 적합한 기법에 의해 제조될 수 있고, 프로세싱 챔버 내에 기판을 배치하기 전에 형성될 수 있다. 몇몇 실시예들에서, 피처들은 동일한 프로세싱 챔버 및 SADP 프로세싱 내에서 형성된다. 피처들은 임의의 적합한 사이즈 및 임의의 적합한 종횡비로 이루어질 수 있다. 몇몇 실시예들에서, 피처의 종횡비는, 약 1:1, 2:1, 3:1, 4:1, 또는 5:1 초과이다. 몇몇 실시예들에서, 피처는, 약 1:1 내지 약 20:1의 범위, 또는 약 2:1 내지 약 15:1의 범위, 또는 약 3:1 내지 약 10:1의 범위, 또는 약 4:1 내지 약 8:1의 범위에서의 종횡비를 갖는다.
[0076] 피처의 수직 면들(921)은 제 1 층에 대해 실질적으로 수직적이다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 수직적인"이라는 용어는, 수직 면들이, 약 80° 내지 약 100°의 범위, 또는 약 85° 내지 약 95°의 범위, 또는 약 88° 내지 약 92°의 범위에서의 제 1 층에 관한 각도를 형성하는 것을 의미한다.
[0077] 패터닝된 층은, 패터닝된 층의 사용에 따라, 임의의 적합한 재료일 수 있다. 도 10a 내지 도 10f에 도시된 예에서, 자기-정렬 이중 패터닝 절차가 설명되고, 여기에서, 패터닝된 층은, 포토레지스트 또는 스핀-온-카본(spin-on-carbon) 중 하나 또는 그 초과이다.
[0078] 패터닝된 층(예컨대, 포토레지스트(920))은, 패터닝된 층의 폭을 W1으로부터 W2로 감소시키기 위해, 프로세싱 조건들에 노출된다. 따라서, 폭(W2)은 폭(W1)보다 더 작다. 몇몇 실시예들에서, 패터닝된 층의 폭을 감소시키기 위해, 패터닝된 층을 플라즈마에 노출시킴으로써, 트리밍이 행해진다. 플라즈마는, 수소, 질소, 산소, 아르곤, 탄소 이산화물, 및 헬륨을 포함하지만 이에 제한되지는 않는 임의의 적합한 플라즈마일 수 있다. 몇몇 실시예들에서, 패터닝된 층은 스핀-온-카본을 포함하고, 플라즈마는 아르곤 및 탄소 이산화물을 포함한다.
[0079] 피처의 측들로부터 제거되는 재료의 양은, 플라즈마에 대한 노출의 양에 의해 제어될 수 있다. 몇몇 실시예들에서, 패터닝된 층의 폭은, 약 10 Å 내지 약 200 Å의 범위, 또는 약 20 Å 내지 약 150 Å의 범위, 또는 약 30 Å 내지 약 100 Å의 범위에서의 양만큼, 감소된다. 하나 또는 그 초과의 실시예들에서, 패터닝된 층의 폭은, 초기 폭의 약 10 %, 15 %, 20 %, 25 %, 30 %, 35 %, 40 %, 45 %, 또는 50 % 초과의 양만큼, 감소된다. 피처의 폭이 제로(zero)로 감소되지 않으면서 슬리밍된 것은, 일부 사용가능한 피처가 남아 있는 것을 의미한다. 피처를 트리밍한 후에, 수직 면들은 제 1 층에 대해 실질적으로 수직적이게 남는다.
[0080] 도 10c를 참조하면, 스페이서 층이, 패터닝된 층을 통해 노출된 제 1 층의 부분들, 및 적어도 하나의 피처의 상단 표면 및 수직 면들 양자 모두 상에 필름을 형성하도록, 제 1 층 및 패터닝된 층 위에 스페이서 층(예컨대, 산화물 필름(930))이 증착된다. 증착된 스페이서 층은 실질적으로 등각적일 수 있고, 이는, 스페이서 층의 두께가 수평 및 수직 표면들에 걸쳐 균일한 것을 의미한다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 등각적"이라는 용어는, 필름의 두께가, 평균 필름 두께에 관하여, 약 20 %, 15 %, 10 %, 또는 5 %보다 더 많이 변화되지 않는 것을 의미한다. 스페이서 층은, 산화물들, 질화물들, 실리사이드들, 옥시나이트라이드들, 카보나이트라이드들, 및 이들의 조합들을 포함하지만 이에 제한되지는 않는 임의의 적합한 재료로 제조될 수 있다.
[0081] 도 10d를 참조하면, 스페이서 층이 수평 표면들로부터 에칭되어, 수직 면들 상에 스페이서 층을 남긴다. 여기에서, 피처의 상단 표면 및 피처들 사이의 수평 부분들이 에칭되어, 피처의 상단 표면 및 제 1 층이 노출된다.
[0082] 개별적인 프로세스들은, 본원에서 설명되는 바와 같이, 단일 프로세싱 챔버 또는 별개의 프로세싱 챔버들에서 수행될 수 있다. 몇몇 실시예들에서, 각각의 프로세스는 단일 프로세싱 챔버에서 발생하고, 그러한 단일 프로세싱 챔버에서, 기판은 복수의 섹션들 사이에서 측방향으로 이동되고, 각각의 섹션은, 가스 커튼에 의해, 인접하는 섹션들로부터 분리된다. 그러한 종류의 실시예들에서, 패터닝된 층을 트리밍하는 것은 프로세싱 챔버의 제 1 섹션에서 발생하고, 스페이서 층을 증착하는 것은 프로세싱 챔버의 제 2 섹션에서 발생하고, 스페이서 층을 에칭하는 것은 프로세싱 챔버의 제 3 섹션에서 발생한다. 예컨대, 그러한 종류의 프로세싱 방법은, 복수의 섹션들을 포함하는 프로세싱 챔버 내로 기판을 배치하는 것을 포함할 수 있고, 각각의 섹션은, 가스 커튼에 의해, 인접하는 섹션들로부터 분리된다. 패터닝된 층의 폭을 감소시키기 위하여, 패터닝된 층을 트리밍하기 위해, 기판의 적어도 일부가 제 1 프로세스 조건에 노출된다. 기판은, 가스 커튼을 통해 프로세싱 챔버의 제 2 섹션으로 측방향으로 이동된다. 프로세싱 챔버의 제 2 섹션에서, 제 1 층 및 패터닝된 층 위에 스페이서 층을 증착하기 위해, 기판의 적어도 일부가 제 2 프로세스 조건에 노출된다. 그 후에, 기판은, 가스 커튼을 통해 프로세싱 챔버의 제 3 섹션으로 측방향으로 이동된다. 그 후에, 패터닝된 층을 통해 노출된 제 1 층의 부분들, 및 적어도 하나의 피처의 상단 표면으로부터 스페이서 층을 에칭하기 위해, 기판의 적어도 일부가 제 3 프로세스 조건에 노출된다. 제 1 섹션으로부터 제 2 섹션으로의 기판의 측방향 이동 동안에, 기판의 제 1 부분이 제 1 프로세스 조건에 노출되는 것과 동시에, 표면의 제 2 부분이 제 2 프로세스 조건들에 노출되고, 기판의 중간 부분은 가스 커튼에 노출된다. 중간 부분은, 제 1 부분과 제 2 부분 사이의 기판의 일부 부분이다. 제 2 섹션으로부터 제 3 섹션으로의 기판의 측방향 이동 동안에, 기판의 제 1 부분이 제 2 프로세스 조건에 노출되는 것과 동시에, 기판의 제 2 부분이 제 3 프로세스 조건에 노출되고, 기판의 중간 부분은 가스 커튼에 노출된다.
[0083] 도 10e 및 도 10f는, 동일한 프로세싱 챔버 또는 상이한 환경들에서 수행될 수 있는 부가적인 프로세스 스테이지들을 도시한다. 도 10e에서, 패터닝된 층이 제거된다. 이러한 프로세스는 "코어 제거(core removal)"라고 지칭될 수 있고, 종종, 습식 화학 방법들에 의해 행해지지만, 이는 필수적인 것은 아니다. 도 10f에서, 나머지 스페이서 층 및 제 1 층의 노출된 부분들이 기판으로부터 에칭된다.
[0084] 몇몇 실시예들에서, 하나 또는 그 초과의 층들은, 플라즈마 강화 원자 층 증착(PEALD) 프로세스 동안에 형성될 수 있다. 몇몇 프로세스들에서, 플라즈마의 사용은, 표면 반응들이 유리하게 되고 가능성이 있게 되는 여기된 상태로 종을 촉진하기에 충분한 에너지를 제공한다. 프로세스에 플라즈마를 도입하는 것은 연속적일 수 있거나 또는 펄싱될(pulsed) 수 있다. 몇몇 실시예들에서, 플라즈마 및 전구체들(또는 반응성 가스들)의 순차적인 펄스들이, 층을 프로세싱하기 위해 사용된다. 몇몇 실시예들에서, 시약(reagent)들은, 국부적으로(즉, 프로세싱 구역 내에서), 또는 원격으로(즉, 프로세싱 구역 외부에서) 이온화될 수 있다. 몇몇 실시예들에서, 원격 이온화는, 이온들 또는 다른 에너제틱(energetic) 또는 발광 종이, 증착되는 필름과 직접적으로 접촉하지 않도록, 증착 챔버의 상류에서 발생할 수 있다. 몇몇 PEALD 프로세스들에서, 플라즈마는, 프로세싱 챔버 외부에서, 이를 테면 원격 플라즈마 생성기 시스템에 의해 생성된다. 플라즈마는, 당업자에게 알려져 있는 임의의 적합한 플라즈마 생성 프로세스 또는 기법을 통해 생성될 수 있다. 예를 들어, 플라즈마는, 마이크로파(MW) 주파수 생성기 또는 무선 주파수(RF) 생성기 중 하나 또는 그 초과에 의해 생성될 수 있다. 플라즈마의 주파수는, 사용되고 있는 특정 반응성 종에 따라 튜닝될 수 있다. 적합한 주파수들은, 비제한적으로, 2 MHz, 13.56 MHz, 40 MHz, 60 MHz, 및 100 MHz를 포함한다. 본원에서 개시되는 증착 프로세스들 동안에 플라즈마들이 사용될 수 있지만, 플라즈마들이 요구되지 않을 수 있다. 실제로, 다른 실시예들은, 플라즈마를 이용하지 않는, 매우 온화한(mild) 조건들 하에서의 증착 프로세스들에 관한 것이다.
[0085] 하나 또는 그 초과의 실시예들에 따르면, 기판은, 층을 형성하기 전에, 그리고/또는 층을 형성한 후에, 프로세싱을 받는다. 이러한 프로세싱은, 동일한 챔버에서, 또는 하나 또는 그 초과의 별개의 프로세싱 챔버들에서 수행될 수 있다. 몇몇 실시예들에서, 기판은, 추가적인 프로세싱을 위해, 제 1 챔버로부터 별개의 제 2 챔버로 이동된다. 기판은, 제 1 챔버로부터 별개의 프로세싱 챔버로 직접적으로 이동될 수 있거나, 또는 기판은, 제 1 챔버로부터 하나 또는 그 초과의 이송 챔버들로 이동될 수 있고, 그 후에, 별개의 프로세싱 챔버로 이동될 수 있다. 따라서, 프로세싱 장치는 이송 스테이션과 소통하는 다수의 챔버들을 포함할 수 있다. 이러한 종류의 장치는 "클러스터 툴" 또는 "클러스터링된 시스템" 등이라고 지칭될 수 있다.
[0086] 일반적으로, 클러스터 툴은, 기판 중심-발견 및 배향, 탈기(degassing), 어닐링, 증착, 및/또는 에칭을 포함하는 다양한 기능들을 수행하는 다수의 챔버들을 포함하는 모듈식 시스템이다. 하나 또는 그 초과의 실시예들에 따르면, 클러스터 툴은, 적어도 제 1 챔버 및 중앙 이송 챔버를 포함한다. 중앙 이송 챔버는, 로드 락 챔버들과 프로세싱 챔버들 사이에서 그리고 이들 간에서 기판들을 셔틀링할 수 있는 로봇을 하우징(house)할 수 있다. 이송 챔버는 전형적으로, 진공 조건에서 유지되고, 기판들을, 하나의 챔버로부터 다른 챔버로, 그리고/또는 클러스터 툴의 전방 단부에 포지셔닝된 로드 락 챔버로 셔틀링하기 위한 중간 스테이지를 제공한다. 본 개시내용에 대해 적응될 수 있는 2개의 잘-알려진 클러스터 툴들은 Centura® 및 Endura®이고, 이들 양자 모두는, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능하다. 그러한 하나의 스테이지형(staged)-진공 기판 프로세싱 장치의 상세사항들은, 1993년 2월 16일 발행되었으며 그 명칭이 "Staged-진공 웨이퍼 프로세스ing 장치 and 방법"인 Tepman 등의 미국 특허 제 5,186,718호에 개시되어 있다. 하지만, 챔버들의 정확한 배열 및 조합은, 본원에서 설명되는 바와 같은 프로세스의 특정 부분들을 수행하는 목적들을 위해 변경될 수 있다. 사용될 수 있는 다른 프로세싱 챔버들은, 비제한적으로, 순환 층 증착(CLD), 원자 층 증착(ALD), 화학 기상 증착(CVD), 물리 기상 증착(PVD), 에칭, 사전-세정, 화학 세정, RTP와 같은 열 처리, 플라즈마 질화(nitridation), 탈기, 배향, 히드록실화(hydroxylation), 및 다른 기판 프로세스들을 포함한다. 클러스터 툴 상의 챔버에서 프로세스들을 수행함으로써, 대기 불순물들에 의한 기판의 표면 오염이, 후속 필름을 증착하기 전의 산화 없이, 피해질 수 있다.
[0087] 하나 또는 그 초과의 실시예들에 따르면, 기판은 지속적으로 진공 또는 "로드 락" 조건들 하에 있고, 하나의 챔버로부터 다음 챔버로 이동되는 경우에, 주변 공기에 노출되지 않는다. 따라서, 이송 챔버들은 진공 하에 있고, 진공 압력 하에서 "펌핑 다운(pump down)"된다. 비활성 가스들이 프로세싱 챔버들 또는 이송 챔버들에 존재할 수 있다. 몇몇 실시예들에서, 비활성 가스는, 기판의 표면 상에 층을 형성한 후에, 반응물들의 일부 또는 전부를 제거하기 위해, 퍼지 가스로서 사용된다. 하나 또는 그 초과의 실시예들에 따르면, 퍼지 가스는, 반응물들이 증착 챔버로부터 이송 챔버로 그리고/또는 부가적인 프로세싱 챔버로 이동하는 것을 방지하기 위해, 증착 챔버의 출구에서 주입된다. 따라서, 비활성 가스의 유동은 챔버의 출구에서 커튼을 형성한다.
[0088] 프로세싱 동안에, 기판은 가열 또는 냉각될 수 있다. 그러한 가열 또는 냉각은, 비제한적으로, 기판 지지부(예를 들어, 서셉터)의 온도를 변화시키는 것, 및 가열된 또는 냉각된 가스들을 기판 표면으로 유동시키는 것을 포함하는 임의의 적합한 수단에 의해 달성될 수 있다. 몇몇 실시예들에서, 기판 지지부는, 기판 온도를 전도성으로 변화시키도록 제어될 수 있는 가열기/냉각기를 포함한다. 하나 또는 그 초과의 실시예들에서, 채용되는 가스들(반응성 가스들 또는 비활성 가스들)은, 기판 온도를 국부적으로 변화시키도록 가열 또는 냉각된다. 몇몇 실시예들에서, 가열기/냉각기는, 기판 온도를 대류성으로 변화시키기 위해, 챔버 내에서 기판 표면 근처에 포지셔닝된다.
[0089] 기판은 또한, 프로세싱 동안에, 정지되어 있을 수 있거나 또는 회전될 수 있다. 회전되는 기판은, 연속적으로 또는 불연속적인 단계들로 회전될 수 있다. 예를 들어, 기판은 전체 프로세스 전반에 걸쳐 회전될 수 있거나, 또는 기판은, 상이한 반응성 또는 퍼지 가스들에 대한 노출 사이에서 소량만큼 회전될 수 있다. (연속적으로 또는 단계들로) 프로세싱 동안에 기판을 회전시키는 것은, 예를 들어, 가스 유동 기하형상들에서의 국부적인 변동성의 영향을 최소화함으로써, 더 균일한 증착 또는 에칭을 생성하는 것을 도울 수 있다.
[0090] 전술한 바가 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 실시예들이, 본 개시내용의 기본적인 범위로부터 벗어나지 않고 고안될 수 있고, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.

Claims (15)

  1. 프로세싱 방법으로서,
    제 1 층, 및 상기 제 1 층 상에 패터닝된 층을 갖는 기판을 제공하는 단계 ― 상기 제 1 층의 부분들은 상기 패터닝된 층을 통해 노출되고, 상기 패터닝된 층은, 상단 표면, 및 폭을 정의하는 2개의 수직 면들을 갖는 적어도 하나의 피처(feature)를 포함하고, 상기 수직 면들은 상기 제 1 층에 대해 실질적으로 수직적임 ―;
    상기 패터닝된 층의 폭을 감소시키기 위해, 상기 패터닝된 층을 트리밍(trimming)하는 단계 ― 상기 패터닝된 층을 트리밍하는 것은 프로세싱 챔버의 제 1 섹션에서 발생함 ―;
    상기 프로세싱 챔버의 제 1 섹션으로부터 제 1 가스 커튼을 통해 상기 프로세싱 챔버의 제 2 섹션으로 상기 기판을 이동시키는 단계;
    스페이서(spacer) 층이, 상기 패터닝된 층을 통해 노출된 상기 제 1 층의 부분들, 및 상기 적어도 하나의 피처의 상단 표면 및 양쪽의 수직 면들 모두 상에 필름(film)을 형성하도록, 상기 제 1 층 및 상기 패터닝된 층 위에 상기 스페이서 층을 증착하는 단계 ― 상기 스페이서 층을 증착하는 것은 상기 프로세싱 챔버의 제 2 섹션에서 발생함 ―;
    상기 프로세싱 챔버의 제 2 섹션으로부터 제 2 가스 커튼을 통해 상기 프로세싱 챔버의 제 3 섹션으로 상기 기판을 이동시키는 단계; 및
    상기 패터닝된 층을 통해 노출된 상기 제 1 층의 부분들, 및 상기 적어도 하나의 피처의 상단 표면으로부터 상기 스페이서 층을 에칭하는 단계 ― 상기 스페이서 층을 에칭하는 것은 상기 프로세싱 챔버의 제 3 섹션에서 발생함 ―;를 포함하고,
    상기 제 1 가스 커튼은 상기 제 1 섹션을 모든 방향으로 둘러싸는 제 1 퍼지 가스 포트로부터 주입된 가스, 상기 제 1 섹션과 제 2 섹션 사이에 배치된 제 1 진공 포트에 의해 생성된 진공 스트림, 및 상기 제 2 섹션을 모든 방향으로 둘러싸는 제 2 퍼지 가스 포트로부터 주입된 퍼지 가스를 포함하는,
    프로세싱 방법.
  2. 프로세싱 방법으로서,
    복수의 섹션들을 포함하는 프로세싱 챔버 내로, 제 1 층, 및 상기 제 1 층 상에 패터닝된 층을 갖는 기판을 배치하는 단계 ― 각각의 섹션은, 가스 커튼(gas curtain)에 의해, 인접하는 섹션들로부터 분리되고, 상기 제 1 층의 부분들은 상기 패터닝된 층을 통해 노출되고, 상기 패터닝된 층은, 상단 표면, 및 폭을 정의하는 2개의 수직 면들을 갖는 적어도 하나의 피처를 포함하고, 상기 수직 면들은 상기 제 1 층에 대해 실질적으로 수직적임 ―;
    상기 패터닝된 층의 폭을 감소시키기 위하여, 상기 패터닝된 층을 트리밍하기 위해, 상기 프로세싱 챔버의 제 1 섹션에서의 제 1 프로세스 조건에 상기 기판의 적어도 일부를 노출시키는 단계;
    상기 프로세싱 챔버의 제 1 섹션으로부터 제 1 가스 커튼을 통해 상기 프로세싱 챔버의 제 2 섹션으로 상기 기판을 측방향으로(laterally) 이동시키는 단계;
    스페이서 층이, 상기 패터닝된 층을 통해 노출된 상기 제 1 층의 부분들, 및 상기 적어도 하나의 피처의 상단 표면 및 양쪽의 수직 면들 모두 상에 필름을 형성하도록, 상기 제 1 층 및 상기 패터닝된 층 위에 상기 스페이서 층을 증착하기 위해, 상기 제 2 섹션에서의 제 2 프로세스 조건에 상기 기판을 노출시키는 단계;
    상기 프로세싱 챔버의 제 2 섹션으로부터 제 2 가스 커튼을 통해 상기 프로세싱 챔버의 제 3 섹션으로 상기 기판을 측방향으로 이동시키는 단계; 및
    상기 패터닝된 층을 통해 노출된 상기 제 1 층의 부분들, 및 상기 적어도 하나의 피처의 상단 표면으로부터 상기 스페이서 층을 에칭하기 위해, 상기 제 3 섹션에서의 제 3 프로세스 조건에 상기 기판을 노출시키는 단계;를 포함하며,
    상기 기판의 측방향 이동 동안에, 상기 기판의 제 1 부분이 상기 제 1 프로세스 조건에 노출되는 것과 동시에, 상기 기판의 제 2 부분이 제 2 프로세스 조건들에 노출되고, 상기 기판의 중간 부분은 상기 제 1 가스 커튼에 노출되고,
    상기 제 1 가스 커튼은 상기 제 1 섹션을 모든 방향으로 둘러싸는 제 1 퍼지 가스 포트로부터 주입된 가스, 상기 제 1 섹션과 제 2 섹션 사이에 배치된 제 1 진공 포트에 의해 생성된 진공 스트림, 및 상기 제 2 섹션을 모든 방향으로 둘러싸는 제 2 퍼지 가스 포트로부터 주입된 퍼지 가스를 포함하는,
    프로세싱 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 패터닝된 층은, 포토레지스트 또는 스핀-온-카본(spin-on-carbon) 중 하나 또는 그 초과를 포함하는,
    프로세싱 방법.
  4. 제 1 항 또는 제 2 항에 있어서,
    상기 패터닝된 층은, 200 Å 내지 800 Å의 범위에서의 폭을 갖는,
    프로세싱 방법.
  5. 제 1 항 또는 제 2 항에 있어서,
    상기 패터닝된 층은 유전체를 포함하는,
    프로세싱 방법.
  6. 제 1 항 또는 제 2 항에 있어서,
    상기 패터닝된 층은, 1:1 내지 20:1의 범위에서의 종횡비(aspect ratio)를 갖는,
    프로세싱 방법.
  7. 제 1 항 또는 제 2 항에 있어서,
    상기 패터닝된 층을 트리밍하는 것은, 플라즈마에 상기 패터닝된 층을 노출시키는 것을 포함하는,
    프로세싱 방법.
  8. 제 7 항에 있어서,
    상기 패터닝된 층은 스핀-온-카본을 포함하고, 상기 플라즈마는 아르곤 및 탄소 이산화물을 포함하는,
    프로세싱 방법.
  9. 제 7 항에 있어서,
    상기 패터닝된 층을 트리밍하는 것은, 10 Å 내지 200 Å의 범위에서의 양만큼, 상기 폭을 감소시키는,
    프로세싱 방법.
  10. 제 7 항에 있어서,
    상기 패터닝된 층을 트리밍한 후에, 상기 수직 면들은 상기 제 1 층에 대해 실질적으로 수직적인,
    프로세싱 방법.
  11. 제 1 항 또는 제 2 항에 있어서,
    상기 스페이서 층은, 산화물, 질화물, 또는 카보나이트라이드(carbonitride) 중 하나 또는 그 초과를 포함하는,
    프로세싱 방법.
  12. 제 1 항 또는 제 2 항에 있어서,
    상기 패터닝된 층을 제거한 후에, 상기 스페이서 및 노출된 제 1 층을 에칭하는 단계를 더 포함하는,
    프로세싱 방법.
  13. 프로세싱 방법으로서,
    유전체를 포함하는 제 1 층, 및 상기 제 1 층 상에 패터닝된 층을 갖는 기판을 제공하는 단계 ― 상기 제 1 층의 부분들은 상기 패터닝된 층을 통해 노출되고, 상기 패터닝된 층은, 상단 표면, 및 200 Å 내지 800 Å의 범위에서의 폭을 정의하는 2개의 수직 면들을 갖는 적어도 하나의 피처를 포함하고, 상기 수직 면들은 상기 제 1 층에 대해 실질적으로 수직적임 ―;
    트리밍된 수직 면들이 상기 제 1 층에 대해 실질적으로 수직적이도록, 10 Å을 초과하는 양만큼, 상기 패터닝된 층의 폭을 감소시키기 위해, 플라즈마에 상기 패터닝된 층을 노출시키는 단계― 상기 패터닝된 층을 노출시키는 것은 프로세싱 챔버의 제 1 섹션에서 발생함 ―;
    상기 프로세싱 챔버의 제 1 섹션으로부터 제 1 가스 커튼을 통해 상기 프로세싱 챔버의 제 2 섹션으로 상기 기판을 이동시키는 단계;
    스페이서 층이, 상기 패터닝된 층을 통해 노출된 상기 제 1 층의 부분들, 및 상기 적어도 하나의 피처의 상단 표면 및 양쪽의 수직 면들 모두 상에 필름을 형성하도록, 상기 제 1 층 및 상기 패터닝된 층 위에, 산화물, 질화물, 옥시나이트라이드(oxynitride), 또는 카보나이트라이드 중 하나 또는 그 초과를 포함하는 상기 스페이서 층을 증착하는 단계 ― 상기 스페이서 층을 증착하는 것은 상기 프로세싱 챔버의 제 2 섹션에서 발생함 ―;
    상기 프로세싱 챔버의 제 2 섹션으로부터 제 2 가스 커튼을 통해 상기 프로세싱 챔버의 제 3 섹션으로 상기 기판을 이동시키는 단계; 및
    상기 패터닝된 층을 통해 노출된 상기 제 1 층의 부분들, 및 상기 적어도 하나의 피처의 상단 표면으로부터 상기 스페이서 층을 에칭하는 단계 ― 상기 스페이서 층을 에칭하는 것은 상기 프로세싱 챔버의 제 3 섹션에서 발생함 ―;를 포함하고,
    상기 제 1 가스 커튼은 상기 제 1 섹션을 모든 방향으로 둘러싸는 제 1 퍼지 가스 포트로부터 주입된 퍼지 가스, 상기 제 1 섹션과 제 2 섹션 사이에 배치된 제 1 진공 포트에 의해 생성된 진공 스트림, 및 상기 제 2 섹션을 모든 방향으로 둘러싸는 제 2 퍼지 가스 포트로부터 주입된 퍼지 가스를 포함하는,
    프로세싱 방법.
  14. 제 1 항, 제 2 항, 및 제 13 항 중 어느 한 항에 있어서,
    상기 제 2 가스 커튼은 상기 제 2 섹션을 모든 방향으로 둘러싸는 제 2 퍼지 가스 포트로부터 주입된 퍼지 가스, 상기 제 2 섹션과 제 3 섹션 사이에 배치된 제 2 진공 포트에 의해 생성된 진공 스트림, 및 상기 제 3 섹션을 모든 방향으로 둘러싸는 제 3 퍼지 가스 포트로부터 주입된 퍼지 가스를 포함하는,
    프로세싱 방법.
  15. 삭제
KR1020167022219A 2014-01-13 2015-01-13 공간적인 원자 층 증착에 의한 자기-정렬 이중 패터닝 KR102363899B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227004779A KR102486400B1 (ko) 2014-01-13 2015-01-13 공간적인 원자 층 증착에 의한 자기-정렬 이중 패터닝

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201461926589P 2014-01-13 2014-01-13
US61/926,589 2014-01-13
US14/595,595 US11164753B2 (en) 2014-01-13 2015-01-13 Self-aligned double patterning with spatial atomic layer deposition
PCT/US2015/011185 WO2015106261A1 (en) 2014-01-13 2015-01-13 Self-aligned double patterning with spatial atomic layer deposition
US14/595,595 2015-01-13

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227004779A Division KR102486400B1 (ko) 2014-01-13 2015-01-13 공간적인 원자 층 증착에 의한 자기-정렬 이중 패터닝

Publications (2)

Publication Number Publication Date
KR20160111426A KR20160111426A (ko) 2016-09-26
KR102363899B1 true KR102363899B1 (ko) 2022-02-15

Family

ID=53521955

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020167022219A KR102363899B1 (ko) 2014-01-13 2015-01-13 공간적인 원자 층 증착에 의한 자기-정렬 이중 패터닝
KR1020227004779A KR102486400B1 (ko) 2014-01-13 2015-01-13 공간적인 원자 층 증착에 의한 자기-정렬 이중 패터닝

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020227004779A KR102486400B1 (ko) 2014-01-13 2015-01-13 공간적인 원자 층 증착에 의한 자기-정렬 이중 패터닝

Country Status (5)

Country Link
US (2) US11164753B2 (ko)
JP (2) JP6692754B2 (ko)
KR (2) KR102363899B1 (ko)
CN (2) CN105917445B (ko)
WO (1) WO2015106261A1 (ko)

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
JP2015154034A (ja) * 2014-02-19 2015-08-24 株式会社東芝 成膜装置および成膜方法
JP6221932B2 (ja) * 2014-05-16 2017-11-01 東京エレクトロン株式会社 成膜装置
US9617638B2 (en) 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
JP6362488B2 (ja) * 2014-09-09 2018-07-25 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US10273578B2 (en) * 2014-10-03 2019-04-30 Applied Materials, Inc. Top lamp module for carousel deposition chamber
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9508547B1 (en) * 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
KR102637883B1 (ko) * 2015-12-11 2024-02-19 아이엠이씨 브이제트더블유 기판 상의 패턴 형성 방법, 그 방법에 관련된 반도체 장치 및 이용
KR102564551B1 (ko) 2016-01-26 2023-08-04 삼성전자주식회사 반도체 소자의 제조 방법
KR102469407B1 (ko) * 2016-02-12 2022-11-21 도쿄엘렉트론가부시키가이샤 일괄 처리 시스템에서의 다중막 퇴적 및 에칭을 위한 방법 및 장치
WO2017160647A1 (en) * 2016-03-13 2017-09-21 Applied Materials, Inc. Selective deposition of silicon nitride films for spacer applications
US9738977B1 (en) 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) * 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
KR102067082B1 (ko) * 2017-01-19 2020-01-16 삼성에스디아이 주식회사 패턴 형성 방법 및 반도체 소자
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
CN107564804A (zh) * 2017-08-31 2018-01-09 长江存储科技有限责任公司 一种自对准双图案化方法
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR20190035036A (ko) * 2017-09-25 2019-04-03 삼성전자주식회사 박막 형성 장치 및 이를 이용한 비정질 실리콘 막 형성방법
US20200090978A1 (en) * 2017-10-27 2020-03-19 Applied Materials, Inc. Methods Of Operating A Spatial Deposition Tool
US20200066572A1 (en) * 2017-10-27 2020-02-27 Applied Materials, Inc. Methods Of Operating A Spatial Deposition Tool
KR102404119B1 (ko) * 2017-12-13 2022-05-31 어플라이드 머티어리얼스, 인코포레이티드 전하 손상을 방지하기 위해 플라즈마 펄싱을 이용하는 공간적 원자 층 증착 챔버
US20190189447A1 (en) * 2017-12-19 2019-06-20 Lam Research Corporation Method for forming square spacers
CN112005343A (zh) 2018-03-02 2020-11-27 朗姆研究公司 使用水解的选择性沉积
CN112204169A (zh) * 2018-05-16 2021-01-08 应用材料公司 原子层自对准的基板处理和整合式成套工具
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films
US10340136B1 (en) * 2018-07-19 2019-07-02 Lam Research Corporation Minimization of carbon loss in ALD SiO2 deposition on hardmask films
KR20200011174A (ko) * 2018-07-24 2020-02-03 에스케이하이닉스 주식회사 대칭형 구조를 갖는 전도성 패턴들을 갖는 반도체 소자
US11276607B2 (en) 2019-09-13 2022-03-15 International Business Machines Corporation Selective patterning of vias with hardmasks
US10998193B1 (en) 2020-01-22 2021-05-04 International Business Machines Corporation Spacer-assisted lithographic double patterning
US11817297B2 (en) * 2020-03-06 2023-11-14 Applied Materials, Inc. System and method for managing substrate outgassing
US11177160B2 (en) 2020-03-24 2021-11-16 International Business Machines Corporation Double patterned lithography using spacer assisted cuts for patterning steps
JP7098677B2 (ja) 2020-03-25 2022-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
US11551926B2 (en) 2021-01-22 2023-01-10 Micron Technology, Inc. Methods of forming a microelectronic device, and related systems and additional methods

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008103719A (ja) * 2006-10-17 2008-05-01 Samsung Electronics Co Ltd 微細ピッチのハードマスクパターンの形成方法及びそれを用いた半導体素子の微細パターン形成方法
US20110163420A1 (en) * 2010-01-07 2011-07-07 Lam Research Corporation Aspect ratio adjustment of mask pattern using trimming to alter geometry of photoresist features
US20110183269A1 (en) * 2010-01-25 2011-07-28 Hongbin Zhu Methods Of Forming Patterns, And Methods For Trimming Photoresist Features
WO2013116478A1 (en) * 2012-01-31 2013-08-08 Applied Materials, Inc. Multi-chamber substrate processing systems

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4702792A (en) * 1985-10-28 1987-10-27 International Business Machines Corporation Method of forming fine conductive lines, patterns and connectors
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
CN1256755C (zh) 2000-08-11 2006-05-17 东京毅力科创株式会社 基板处理装置及处理方法
WO2002081771A2 (en) * 2001-04-05 2002-10-17 Angstron Systems, Inc. Atomic layer deposition system and method
JP2004165634A (ja) * 2002-08-15 2004-06-10 Interuniv Micro Electronica Centrum Vzw Ald表面処理のためのプラズマ処理
US6784096B2 (en) * 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US20040084411A1 (en) * 2002-10-31 2004-05-06 Applied Materials, Inc. Method of etching a silicon-containing dielectric material
US7012027B2 (en) 2004-01-27 2006-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Zirconium oxide and hafnium oxide etching using halogen containing chemicals
US20070123051A1 (en) 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US7229936B2 (en) * 2004-05-03 2007-06-12 International Business Machines Corporation Method to reduce photoresist pattern collapse by controlled surface microroughening
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7393789B2 (en) * 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
KR100730348B1 (ko) * 2005-10-04 2007-06-19 삼성전자주식회사 미세 구조물의 제조 방법
US20070119371A1 (en) * 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US8722547B2 (en) 2006-04-20 2014-05-13 Applied Materials, Inc. Etching high K dielectrics with high selectivity to oxide containing layers at elevated temperatures with BC13 based etch chemistries
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
KR100871967B1 (ko) * 2007-06-05 2008-12-08 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US20090004875A1 (en) * 2007-06-27 2009-01-01 Meihua Shen Methods of trimming amorphous carbon film for forming ultra thin structures on a substrate
KR100876816B1 (ko) * 2007-06-29 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
JP5297615B2 (ja) 2007-09-07 2013-09-25 株式会社日立ハイテクノロジーズ ドライエッチング方法
JP2009152243A (ja) 2007-12-18 2009-07-09 Toshiba Corp 半導体装置の製造方法
CN101971102B (zh) 2008-01-29 2012-12-12 布鲁尔科技公司 用来通过多次暗视场曝光对硬掩模进行图案化的在线法
JP5484325B2 (ja) * 2008-06-13 2014-05-07 東京エレクトロン株式会社 半導体装置の製造方法
US8232212B2 (en) 2008-07-11 2012-07-31 Applied Materials, Inc. Within-sequence metrology based process tuning for adaptive self-aligned double patterning
CA2638452A1 (en) * 2008-08-19 2010-02-19 Ignis Innovation Inc High quality ultra-thin gate dielectric
US7935464B2 (en) 2008-10-30 2011-05-03 Applied Materials, Inc. System and method for self-aligned dual patterning
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US7972959B2 (en) 2008-12-01 2011-07-05 Applied Materials, Inc. Self aligned double patterning flow with non-sacrificial features
JP5377993B2 (ja) 2009-01-30 2013-12-25 株式会社日立ハイテクノロジーズ プラズマ処理方法
CN101556147B (zh) * 2009-05-19 2011-08-03 西北工业大学 碳/碳化硅复合材料内部缺陷厚度测量方法
JP2011066164A (ja) * 2009-09-16 2011-03-31 Tokyo Electron Ltd マスクパターンの形成方法及び半導体装置の製造方法
JP5401244B2 (ja) 2009-10-01 2014-01-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR101584100B1 (ko) 2009-10-29 2016-01-13 삼성전자주식회사 금속 실리케이트 막의 형성 방법 및 이를 이용한 반도체 소자의 형성 방법
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US20110206937A1 (en) 2010-02-25 2011-08-25 Schmidt Wayde R Composite article having a ceramic nanocomposite layer
JP5632240B2 (ja) * 2010-08-31 2014-11-26 東京エレクトロン株式会社 微細パターンの形成方法
WO2012057889A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
JP5473962B2 (ja) * 2011-02-22 2014-04-16 東京エレクトロン株式会社 パターン形成方法及び半導体装置の製造方法
JP5661524B2 (ja) * 2011-03-22 2015-01-28 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
JP5482722B2 (ja) * 2011-04-22 2014-05-07 信越化学工業株式会社 パターン形成方法
JP2013026305A (ja) * 2011-07-19 2013-02-04 Toshiba Corp 半導体装置の製造方法
JP5644719B2 (ja) * 2011-08-24 2014-12-24 東京エレクトロン株式会社 成膜装置、基板処理装置及びプラズマ発生装置
US20130113085A1 (en) 2011-11-04 2013-05-09 Applied Materials, Inc. Atomic Layer Deposition Of Films Using Precursors Containing Hafnium Or Zirconium
US20130210238A1 (en) 2012-01-31 2013-08-15 Joseph Yudovsky Multi-Injector Spatial ALD Carousel and Methods of Use
KR20140147109A (ko) * 2012-04-23 2014-12-29 도쿄엘렉트론가부시키가이샤 성막 방법, 성막 장치, 및 성막 시스템
US8703392B2 (en) * 2012-09-04 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for developing process
JP6051788B2 (ja) * 2012-11-05 2016-12-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ発生装置
US9343308B2 (en) * 2013-10-28 2016-05-17 Asm Ip Holding B.V. Method for trimming carbon-containing film at reduced trimming rate

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008103719A (ja) * 2006-10-17 2008-05-01 Samsung Electronics Co Ltd 微細ピッチのハードマスクパターンの形成方法及びそれを用いた半導体素子の微細パターン形成方法
US20110163420A1 (en) * 2010-01-07 2011-07-07 Lam Research Corporation Aspect ratio adjustment of mask pattern using trimming to alter geometry of photoresist features
US20110183269A1 (en) * 2010-01-25 2011-07-28 Hongbin Zhu Methods Of Forming Patterns, And Methods For Trimming Photoresist Features
WO2013116478A1 (en) * 2012-01-31 2013-08-08 Applied Materials, Inc. Multi-chamber substrate processing systems

Also Published As

Publication number Publication date
CN105917445B (zh) 2020-05-22
JP2017503359A (ja) 2017-01-26
JP6692754B2 (ja) 2020-05-13
KR20220025235A (ko) 2022-03-03
CN111430224B (zh) 2023-07-28
KR20160111426A (ko) 2016-09-26
CN105917445A (zh) 2016-08-31
CN111430224A (zh) 2020-07-17
US11164753B2 (en) 2021-11-02
JP7090118B2 (ja) 2022-06-23
US20220059362A1 (en) 2022-02-24
JP2020127029A (ja) 2020-08-20
KR102486400B1 (ko) 2023-01-09
US20150200110A1 (en) 2015-07-16
WO2015106261A1 (en) 2015-07-16

Similar Documents

Publication Publication Date Title
US20220059362A1 (en) Self-Aligned Double Patterning With Spatial Atomic Layer Deposition
US11821083B2 (en) Gas separation control in spatial atomic layer deposition
US10134581B2 (en) Methods and apparatus for selective dry etch
KR102271731B1 (ko) 배치 프로세싱을 위한 경사진 플레이트 및 사용 방법들
US10319583B2 (en) Selective deposition of silicon nitride films for spacer applications
KR102412517B1 (ko) 이중층 ald를 사용한 정확한 임계 치수 제어
US20130210238A1 (en) Multi-Injector Spatial ALD Carousel and Methods of Use
KR102563831B1 (ko) 캐러셀 증착 챔버를 위한 상단 램프 모듈
US10096464B2 (en) Atomic layer deposition of high density silicon dioxide
US20160024653A1 (en) Plasma Source For Rotating Platen ALD Chambers

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant