KR102348574B1 - 전기도금을 위한 알카리성 전처리 - Google Patents

전기도금을 위한 알카리성 전처리 Download PDF

Info

Publication number
KR102348574B1
KR102348574B1 KR1020140160253A KR20140160253A KR102348574B1 KR 102348574 B1 KR102348574 B1 KR 102348574B1 KR 1020140160253 A KR1020140160253 A KR 1020140160253A KR 20140160253 A KR20140160253 A KR 20140160253A KR 102348574 B1 KR102348574 B1 KR 102348574B1
Authority
KR
South Korea
Prior art keywords
wetting liquid
wafer substrate
wetting
wafer
electroplating
Prior art date
Application number
KR1020140160253A
Other languages
English (en)
Other versions
KR20150058038A (ko
Inventor
매튜 토룸
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20150058038A publication Critical patent/KR20150058038A/ko
Application granted granted Critical
Publication of KR102348574B1 publication Critical patent/KR102348574B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/34Pretreatment of metallic surfaces to be electroplated
    • C25D5/38Pretreatment of metallic surfaces to be electroplated of refractory metals or nickel
    • C25D5/40Nickel; Chromium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • C23C18/1653Two or more layers with at least one layer obtained by electroless plating and one layer obtained by electroplating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • C25D5/022Electroplating of selected surface areas using masking means
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/32Coating with nickel, cobalt or mixtures thereof with phosphorus or boron
    • C23C18/34Coating with nickel, cobalt or mixtures thereof with phosphorus or boron using reducing agents
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/32Coating with nickel, cobalt or mixtures thereof with phosphorus or boron
    • C23C18/34Coating with nickel, cobalt or mixtures thereof with phosphorus or boron using reducing agents
    • C23C18/36Coating with nickel, cobalt or mixtures thereof with phosphorus or boron using reducing agents using hypophosphites
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper

Abstract

전기디포지션 이전에, TSV들과 같은 하나 이상의 리세스된 피처들을 갖는 반도체 웨이퍼가 버퍼 (예를 들어서, 붕산염 버퍼) 를 포함하며 약 7 내지 약 13의 pH를 갖는 사전웨팅 액체에 기판을 접촉시킴으로써 전처리된다. 이 전처리는 NiB 및 NiP와 같은 산-민감성 니켈-함유 시드 층들을 갖는 웨이퍼들에 있어서 특히 유용하다. 사전웨팅 액체는 웨이퍼 기판과 접촉되기 이전에 탈기되는 것이 바람직하다. 전처리는 바람직하게는 리세스된 피처들 내에서 버블이 형성되지 않도록 대기압력보다 낮은 압력 하에서 수행된다. 웨이퍼가 전처리된 후에, 구리와 같은 금속이 산성의 전기도금 용액으로부터 전기디포지션되어서 웨이퍼 상의 리세스된 피처들을 충진한다. 기술된 전처리는 전기도금 동안에 시드 층의 부식을 최소화하며 도금 디펙트들을 줄인다.

Description

전기도금을 위한 알카리성 전처리{Alkaline Pretreatment for Electroplating}
본 명세서에서 기술된 실시예들은 전기도금을 위한 전처리 방법들에 관한 것이다. 특히, 실시예들은 집적 회로 제조를 위해서 웨이퍼 상에 도전성 재료들을 전기디포지션 (electrodeposition) 시키기 이전에 반도체 웨이퍼를 처리하기 위한 사전-웨팅 (pre-wetting) 방법들에 관한 것이다.
집적 회로 제조 시에, 구리와 같은 도전성 재료는 때로 웨이퍼 기판 상의 하나 이상의 리세스된 피처들을 충진하도록 금속 시드 층 상에 전기도금함으로써 디포지션된다. 전기도금은 다마신 프로세싱 동안에 웨이퍼의 트렌치들 및 바이들 내로 금속을 디포지션하기 위해 선정된 방법이며 또한 3D 집적 회로 및 3D 패키지에서 사용되는 상대적으로 큰 수직 전기적 접속부들인 TSV (Through-Silicon Vias) 을 충진하는데 사용된다.
전기도금 동안에, 시드 층에서 (통상적으로 웨이퍼의 주변부에서) 전기적 접촉이 이루어지며 웨이퍼는 전기적으로 바이어스되어서 캐소드 역할을 한다. 웨이퍼는 전기도금 용액과 접촉하게 되며, 이 용액은 도금될 금속의 이온들, 및 전기도금 용액에 충분한 도전성을 제공하는 산을 포함한다. 예를 들어서, 구리의 전기디포지션 시의 통상적인 전기도금 용액들은 구리 술파이트 및 황산 또는 구리 메탄술포네이트 및 메탄술포닉 산을 포함하는 산성 용액이다. 도금 용액은 또한 기판의 상이한 표면들 상에서의 전기디포지션 레이트를 조절하는 가속화제, 억제제 및 평탄화제로 알려진 첨가제들을 포함할 수도 있다. 이러한 도금 용액들을 통상적으로 약 1 보다 작은 pH를 갖는다. 전기도금은 통상적으로 금속으로 리세스된 피처들을 충진하는데 충분한 시간 양 동안 수행된다. 이어서, 웨이퍼의 필드 영역들 상에 디포지션된 원치않는 금속이 화학적 기계적 폴리싱 (CMP) 와 같은 평탄화 동작에서 제거된다.
전기도금 동안에 직면하는 문제들 중 하나는 시드 층 손상으로 인해서 및/또는 전기도금 시작 시에 리세스된 피처들 내의 전해질 조성의 불균형으로 인해서 충진된 리세스된 피처들 내에서 보이드 및 디펙트가 형성된다는 것이다. 예를 들어서, 일부 시드 층들은 도금 용액의 산성 환경에 민감하며 부식을 받을 수 있다. 이러한 산-민감성 시드 층들을 NiB 층 및 NiP 층과 같은 니켈-함유 시드 층들을 포함한다. 그러나, 니켈-함유 시드 층들은 수많은 애플리케이션들에서 바람직한 시드 층들인데 그 이유는 니켈-함유 시드 층들은 매우 컨포멀하게 무전해 디포지션으로 디포지션될 수 있기 때문이다. 본 명세서에서 기술된 실시예들은 전기도금 이전에 산-민감성 시드 층들을 갖는 반도체 웨이퍼들을 처리하기 위한 웨이퍼 전처리 방법 및 장치를 제공한다. 제공된 방법은 산이 없는 전기도금 용액에 의존하지 않고서 산-민감성 시드 층들의 손상을 크게 줄이며 산-민감성 시드 층들 상으로의 보이드가 없는 전기충진을 가능하게 한다.
제 1 양태에서, 하나 이상의 리세스된 피처들을 포함하는 웨이퍼 기판 상에 금속을 전기도금하는 방법이 기술된다. 이 방법은 웨이퍼 기판의 표면의 적어도 일부 상에 노출된 니켈-함유 층을 갖는 웨이퍼 기판을 제공하는 단계; 및 사전-웨팅 액체가 웨이퍼 기판 상의 니켈-함유 층을 사전-웨팅하도록 웨이퍼 기판을 사전-웨팅 액체와 접촉시키는 단계로서, 사전-웨팅 액체는 버퍼 (buffer) 를 포함하며 약 7 내지 약 13의 범위의 pH를 갖는, 접촉시키는 단계; 및 산성의 도금 용액을 사용하여서 니켈-함유 층 상으로 금속 (예를 들어서, 구리) 을 전기디포지션 (electrodeposition) 하는 단계로서, 전기디포지션된 금속은 하나 이상의 리세스된 피처들을 적어도 부분적으로 충진하는, 전기디포지션하는 단계를 포함한다.
일부 실시예들에서, 사전-웨팅 액체는 약 9 내지 약 11의 범위의 pH, 예를 들어서 약 11의 pH를 가지며 사전-웨팅 액체는 붕산염 버퍼를 포함한다. 일부 실시예들에서, 붕산염의 농도는 약 10 mM 내지 약 1 M의 농도, 예를 들어서 약 0.1 M이다. 전처리를 위해서 사용될 수 있는 다른 버퍼는 탄산염 버퍼 및 인산염 버퍼를 포함한다.
상기 사전-웨팅 액체는 통상적으로 일부 실시예들에서 테트라알킬암모니윰 하이드록사이드 및 알카리 금속 하이드록사이드 (예를 들어서, KOH) 로 구성된 그룹으로부터 선택된 pH 조절제를 포함한다. 일부 실시예들에서, pH 조절제는 암모니아를 포함한다.
일부 실시예들에서, 사전-웨팅 액체는 폴리알킬렌 글리콜들의 부류로부터의 화합물을 더 포함한다. 일부 실시예들에서, 사전-웨팅 액체는 붕산염 (예를 들어서, 약 0.1 M의 농도), KOH, 및 폴리알킬렌 글리콜들의 부류로부터의 화합물을 포함하며, 약 11의 pH를 갖는다.
일부 실시예들에서, 웨이퍼 기판을 접촉시키기 이전에 사전-웨팅 액체가 탈기된다. 일부 실시예들에서, 웨이퍼 기판을 사전-웨팅 액체와 접촉시키기 이전에 사전-웨팅 프로세스 챔버 내의 압력이 대기압보다 낮은 압력으로 감압된다. 사전-웨팅 액체는 다수의 방법들을 사용하여서 웨이퍼로 전달될 수 있다. 일부 실시예들에서, 사전-웨팅 액체가 웨이퍼 기판 상으로 스프레이된다. 상기 웨이퍼 기판은 사전-웨팅 액체와 접촉되는 동안에 실질적으로 수평인 배향으로 위치된다.
일부 실시예들에서, 이 방법은 웨이퍼 기판 상의 하나 이상의 TSV들을 충진하는데 사용된다. 일부 실시예들에서, 이 방법은 웨이퍼 기판에 포토레지스트를 도포하는 단계; 포토레지스트를 노광시키는 단계; 포토레지스트를 패터닝하고 패턴을 워크피스에 전사하는 단계; 및 워크피스로부터 포토레지스트를 선택적으로 제거하는 단계를 더 포함한다.
다른 양태에서, 하나 이상의 리세스된 피처들을 포함하는 웨이퍼 기판 상의 니켈-함유 층 상에 금속을 전기도금하기 위한 장치가 제공된다. 이 장치는 사전-웨팅 액체를 웨이퍼 기판 상으로 전달하도록 구성된 사전-웨팅 챔버; 산성의 도금 용액을 수용하도록 구성된 도금 용기로서, 장치는 도금 용액으로부터의 금속을 웨이퍼 기판 상의 니켈-함유 층 상으로 전기디포지션하도록 구성된, 도금 용기; 및 본 명세서에서 제공된 하나 이상의 방법들의 구현을 위한 프로그램 인스트럭션들 및/또는 로직을 포함하는 제어기를 포함한다. 예를 들어서, 제어기가 포함하는 프로그램 인스트럭션들 및/또는 로직은, 사전-웨팅 액체가 웨이퍼 기판 상의 니켈-함유 층을 사전-웨팅하도록 웨이퍼 기판을 사전-웨팅 액체와 접촉시키는 동작으로서, 사전-웨팅 액체는 버퍼 (buffer) 를 포함하며 약 7 내지 약 13의 범위의 pH를 갖는, 접촉시키는 동작; 및 산성의 도금 용액을 사용하여서 니켈-함유 층 상으로 금속을 전기디포지션 (electrodeposition) 하는 동작 위한 것이다.
다른 양태에서, 사전-웨팅 액체가 제공되며, 이 액체는 테트라알킬암모니윰 하이드록사이드 및 알카리 금속 하이드록사이드로 구성된 그룹으로부터 선택된 pH 조절제 및 붕산염을 포함하는 붕산염 버퍼; 및 폴리알킬렌 글리콜들의 부류로부터의 화합물을 포함하며, 사전-웨팅 액체의 pH는 약 8 내지 약 13이다.
다른 양태에서, 프로그램 인스트럭션들을 포함하는 비일시적 컴퓨터 판독가능한 매체가 제공된다. 전기도금 장치의 제어를 위한 프로그램 인스트럭션들은 위에서 기술된 방법들 중 임의의 것을 수행하기 위한 코드를 포함한다.
본 발명의 이러한 그리고 다른 특징들이 이하의 관련 설명 및 도면을 참조하여서 보다 상세하게 기술될 것이다.
도 1은 상이한 용액 성분들이 비아 내로 이동할 때에 이 성분들에 대한 상대적 확산 레이트들을 예시하는, 전기도금 용액과 접촉하는 TSV를 갖는 웨이퍼 기판의 단면의 개략적 도면이다.
도 2는 비아의 하단에서의 보이드 (void) 를 갖는 구리-충진된 TSV를 예시하는, 알카리성 전처리 없이 프로세싱된 웨이퍼 기판의 단면의 개략적 도면이다.
도 3a 내지 도 3d는 본 명세서에서 제공된 실시예에 따른, TSV 프로세싱의 다양한 스테이지들에서의 반도체 디바이스 단면들의 개략적 도면들이다.
도 4는 본 명세서에서 제공된 실시예에 따른 디포지션 방법의 프로세스 흐름도이다.
도 5는 본 명세서에서 제공된 실시예에 따른 전처리 방법의 프로세스 흐름도이다.
도 6은 본 명세서에서 제공된 실시예에 따른 사전-웨팅 용액을 준비하는 방법의 프로세스 흐름도이다.
도 7은 본 명세서에서 제공된 사전-웨팅 액체를 전달하는데 적합한 사전-웨팅 프로세스 챔버의 단순화된 개략적 도면이다.
도 8은 본 명세서에서 제공된 실시예에 따른, 리세스된 피처들을 충진하는데 적합한 전기도금 장치의 단순화된 개략적 도면이다.
다음의 설명에서, 본 발명은 본 발명이 어떻게 실시될 수 있는지를 설명하는 것을 돕기 위해서 소정의 특정 구성들 및 프로세스들의 측면에서 제공된다. 본 발명은 이러한 특정 실시예들로 한정되지 않는다. 본 발명의 특정 실시예들의 실례들은 첨부 도면에서 예시된다. 본 발명이 이러한 특정 실시예들과 함께 기술될 것이지만, 이는 본 발명을 이러한 특정 실시예들로 한정하고자 하는 것이 아님이 이해될 것이다. 이보다는, 첨부된 청구항들의 범위 및 균등범위 내에 포함될 수 있는 대체사항들, 수정사항들 및 균등사항들을 포함한다. 다음의 설명에서, 다수의 특정 세부사항들이 본 발명의 철저한 이해를 제공하기 위해서 제시된다. 본 발명은 이러한 특정 세부사항들 전부 또는 일부 없이도 실시될 수 있다. 다른 실례에서, 잘 알려진 프로세스 동작들은 본 발명을 불필요하게 모호하게 하지 않도록 세부적으로 기술되지 않았다.
본 개시에서, 다양한 용어들이 반도체 워크 피스를 기술하는데 사용된다. 예를 들어서, "웨이퍼" 및 "기판"은 상호교환가능하게 사용된다. 전기화학적 용액을 통해서 도전성 표면 상에 금속을 디포지션 또는 도금하는 프로세스는 대체적으로 전기도금 또는 전기충진으로 지칭된다. 본원에서 구리 함유 금속은 다음으로 한정되지 않지만 순수한 구리 금속, 다른 금속들과의 구리 합금 및 전기충진 동작들에 사용되는 유기 및 무기 화합물들 (예를 들어서, 가속화제, 평탄화제, 억제제, 표면-활성제 등) 과 같은 비-금속성 종들로 함침된 (impregnated) 구리 금속을 포함하는 "구리"로서 지칭된다. 본 개시에서 사용되는 용어들 "붕산염", "탄산염", "구연산염", 및 "인산염"은 다음으로 한정되지 않지만 본 기술 분야의 당업자에게 이해될 것인 대응하는 짝산 (conjugate acid) 을 포함한다. 예를 들어서, "붕산염 완충액 (borate buffer)" 은 붕산염 및 붕산 양자를 포함할 수 있다.
전기충진 프로세스들이 주로 구리 도금을 참조하여서 기술될 것이지만, 본 명세서에서 제공된 방법 및 이와 관련된 장치 구성들은 다른 금속들 및 합금들, 예를 들어서 Au, Ag, Ni, Ru, Pd, Sn, Pb/Sn 합금, 등의 도금을 수행하는데 사용될 수 있다는 것이 이해된다. 도금 전해질은 요구된 금속 이온들 (금속 염) 의 소스 및 통상적으로 전해질 도전성을 증가시키기 위한 산을 포함할 것이다.
기술된 전처리 프로세스들은 임의의 타입의 시드 층 (예를 들어서, 구리, 니켈, 루테늄, 텅스텐, 등) 에 대해서 실시될 수 있지만, 특히 산-민감성 시드 층들 (acid-sensitive seed layers) 에 대해서 유용하다. 이러한 산-민감성 시드 층들은 니켈-함유 시드 층들을 포함하며, 특히 무전해 디포지션에 의해서 디포지션되고/되거나 니켈에 추가하여서 다른 원소들 (예를 들어서, 약 1 원자적 퍼센트 이상의 다른 원소) 을 갖는 것들을 포함한다. 이러한 니켈-함유 층들의 실례는 NiB 층들 및 NiP 층들이며, 여기서 이 화학식들은 50% Ni 화학량론을 의미하지 않는다. 일부 실시예들에서, 다른 원소 (예를 들어서, NiB에서 붕소 및 NiP에서는 인) 함량은 약 0.01 내지 50 원자적 퍼센트, 예를 들어서 약 25 원자적 퍼센트이다. 알카리성 전처리로부터 이점을 얻을 수 있는 산-민감성 시드 층들의 다른 실례들은 조성의 매우 얇은 층들 (예를 들어서 구리 시드 층들) 을 포함한다.
제공된 방법들은 임의의 타입의 전해질로 전기도금하기 이전의 전처리들에서 사용될 수 있다. 전처리 이점들은 3 보다 낮은 pH, 예를 들어서 1 보다 낮은 pH를 갖는 강한 산성이면서 부식성을 갖는 도금 용액들로 전기도금하기 이전에 특히 현저하다.
제공된 방법들은 다양한 리세스된 피처들을 충진하는데 사용될 수 있지만, 특히 상대적으로 큰 크기 및 고 종횡비들을 가는 리세스된 피처들인 TSV들을 충진하는데 유리하다. TSV들은 통상적으로 5:1 이상의 종횡비, 예를 들어서 10:1 이상의 종횡비, 심지어 20:1 이상의 종횡비 (예를 들어서, 약 30:1에 달함) 를 갖고 개구에서의 폭은 약 1 ㎛ 이상, 예를 들어서 약 5 ㎛ 이상을 가지며, 깊이는 약 20 ㎛ 이상, 예를 들어서 50 ㎛ 이상 및 100 ㎛ 이상을 갖는다. TSV들의 실례들은 5×50 ㎛ 피처 및 10×100 ㎛ 피처를 포함한다. 이러한 큰 리세스된 피처들은 산-민감성 시드 층들을 사용하여 코팅되는 경우에 통상적인 기법들을 사용하여서 충진하기가 특히 어렵다.
도 1은 도금 용액이 리세스된 피처를 갖는 기판과 접촉할 시에 도금 용액 성분들의 분포의 모델을 예시한다. 기판의 단면 개략 뷰가 도시된다. 기판은 유전체 재료 층 (101) 및 이 유전체 재료 층 내에 내장된 비아 (103) 을 포함한다. 컨포멀 확산 베리어 층 (conformal diffusion barrier layer) (105), 예를 들어서 W/WN 이중층이 유전체 층 상에 상주한다. 컨포멀 시드 층, 예를 들어서 NiB 또는 NiP 층 (107) 이 베리어 층 (105) 상에 상주하며 전기도금 용액 (120) 에 노출된다. 전기도금 용액은 금속 염, 산, 가속화제 및 억제제를 포함한다. 전기도금 용액이 기판과 접촉하면, 도금 용액의 상이한 성분들이 상이한 속도로 리세스된 피처의 하단으로 이동한다. H+ 이온들은 화살표 (121) 로 표시된 바와 같이 다른 성분들보다 매우 신속하게 비아의 하단으로 이동한다. 다른 이온들 및 가속화제는 화살표 (123) 로 표시된 바와 같이 양성자보다 약 10 배 느리게 이동하며, 억제제 분자들은 화살표 (125) 로 표시된 바와 같이 양성자보다 심지어 약 100 배 느리게 이동한다. 이로써, 전기도금 용액과 접촉 시에, 리세스의 하단에서 불균형적인 양성자-풍부한 환경이 조성되고 이는 잠재적으로 시드 층의 탈분극화 및 시드 층의 표면 상의 부식으로 이어질 수 있다.
산-민감성 시드 층을 갖는 리세스된 피처가 알카리성 전처리 없이 전기충진되면, 도 2에서 예시된 바와 같이 보이드가 때로 비아의 하단에서 형성된다. 도 2는 오직 전처리가 감소된 압력 하에서 탈기된 이온화된 물로 사전-웨팅하는 경우인, 산성 전해질을 사용하여서 금속으로 리세스된 피처가 충진된 후의 기판의 단면도이다. 예시된 바와 같이, 전기디포지션된 금속 (111) 이 리세스된 피처를 충진하고, 보이드 (112) 가 리세스된 피처의 하단 부분에서 형성된다.
이러한 보이드 형성을 방지할 수 있는 전처리 방법이 도 4에 도시된 예시적인 프로세스 흐름도에 의해서 그리고 도 3a 내지 도 3에서 도시된, 프로세싱을 겪고 있는 기판의 단면도들의 시퀀스에 의해서 예시된다. 동작 401에서, 하나 이상의 리세스된 피처들 및 니켈-함유 시드 층을 웨이퍼 기판이 제공된다. 이러한 기판의 실례의 단면도는 도 3a에서 도시된다. 도 3a는 유전체 층 (101) 내에 상주하는 TSV (103) 을 도시한다. 도 3a는 하나의 비아를 포함하는 기판의 일부를 도시한다. 임의의 구현예들에서, 기판은 수 백개 또는 심지어 수 백만개의 비아들을 갖는 반도체 웨이퍼이다.
유전체 층 (101) 이 확산 베리어 층 (105) (예를 들어서, Ta, TaN, Ti, TiN, W, WN 또는 이들의 조합) 으로 라이닝되며, 니켈-함유 층 (107) 이 확산 베리어 층 (105) 상에 디포지션된다. 니켈-함유 시드 층 (107) 이 TSV (103) 의 내부 벽들을 컨포멀하게 라이닝하고 또한 필드 영역에서도 확산 베리어 층 위에 상주한다. 일부 실시예들에서, 니켈 함유 시드 층은 무전해 디포지션에 의해서 디포지션된 NiB 또는 NiP 층이다. 무전해 디포지션은 물리적 기상 증착 (PVD) 에 비해서 이점들을 제공하는데, 그 이유는 무전해 디포지션은 PVD 층들보다 보다 컨포멀한 층들을 디포지션하기 때문이다. 니켈을 무전해 디포지션할 시에, 기판이 니켈 염 및 환원제 (예를 들어서, 하이포포스페이트 (hypophosphate), 디알킬아미노보란 (dialkylaminoborane), 또는 소듐 보로하이드라이드 (sodium borohydride)) 와 접촉되어서 니켈-함유 층을 형성한다. 환원제의 특성에 따라서, NiB 층 또는 NiP 층이 형성된다. 예를 들어서, 붕소-함유 환원제를 사용하면 NiB 층들이 제공되며, 하이포포스페이트 또는 다른 인-함유 환원제가 사용되면 NiP 층들이 형성된다.
노출된 니켈-함유 시드 층을 갖는 기판이 이어서 전처리를, 도 4의 동작 403 에서 도시된 바와 같이, 받는다. 기판이 사전웨팅 액체와 접촉하는데, 이 액체는 버퍼를 포함하며 약 7 내지 약 13, 예를 들어서 약 8 내지 약 12, 예를 들어서 약 9 내지 약 11의 pH를 갖는다. 적합한 버퍼들의 실례들은 붕산염 버퍼, 탄산염 버퍼, 구연산염 버퍼, 및 인산염 버퍼를 포함한다. 버퍼 용액은 통상적으로 또한 pH 조절제 (pH adjustor) 를 포함한다. 일부 실시예들에서, pH 조절제는 바람직하게는 비-착화 염기 (non-complexing base) 이다. 적합한 비-착화 pH 조절제들은 알카리 금속 하이드록사이드들 (hydroxides) (예를 들어서, KOH, NaOH) 및 테트라알킬암모니윰 하이드록사이드들 (예를 들어서, 테트라메틸암모니윰 하이드록사이드) 을 포함한다. 다른 실시예들에서, pH 조절제는 착화 특성을 갖는다. 이러한 pH 조절제는 암모니아 및 아민들을 포함한다. 사전웨팅 액체가 버퍼이며 이는 그의 pH가 산성의 도금 용액과 접촉 시에 바로 산성으로 되지 않지만 도금 용액과의 초기 접촉 이후에 소정의 시간이 지나면 염기성으로 유지될 수 있으며 이로써 디펙트가 없는 도금을 가능하게 한다는 것을 의미한다는 것이 제공된 실시예들의 중요한 특징이다.
일부 실시예들에서, 사전웨팅 액체는 또한 기판의 전처리시에 유용한 다른 화합물들, 예를 들어서 웨팅제들 및 계면활성제들 (예를 들어서, 치환된 또는 치환되지 않은 폴리에틸렌 글리콜, 또는 치환된 또는 치환되지 않은 폴리프로필렌 글리콜) 을 포함한다. 적합한 웨팅제의 실례는 HSL-PT1이며 이는 WA, Moses Lake, Moses Lake Industries에서 입수가능하다. 일부 실시예들에서, 사전웨팅 액체는 또하나 전기도금 액체에서 사용되는 첨가제들을 포함할 수도 있다. 전처리 동안에 이러한 화합물들을 사용하면 전기도금 시작 시에 첨가제의 느린 확산 레이트와 연관된 문제들을 완화시킨다.
일 실시예에서, 사전웨팅 액체는 붕산염, KOH, 및 폴리알킬렌글리콜들 (polyalkyleneglycols) 부류로부터의 화합물 (예를 들어서, 치환된 또는 치환되지 않은 폴리에틸렌 글리콜, 또는 치환된 또는 치환되지 않은 폴리프로필렌 글리콜) 을 함유하는 수용액이며, 여기서 이 수용액은 약 9 내지 약 12, 예를 들어서 약 11의 pH를 갖는다. 일부 실시예들에서, 사전웨팅 액체는 약 10 mM 내지 약 1M 농도로, 예를 들어서 약 0.1M 농도로 붕산염을 포함한다. 웨팅 액체는 임의의 적합한 방법에 의해서, 예를 들어서 액체를 기판 상으로 스프레이함으로써, 액체를 기판 상으로 스트리밍함으로써, 기판을 액체 내로 침지시키는 등으로 해서 기판과 접촉될 수 있다. 일부 실시예들에서, 액체를 회전하는 기판 상으로 스프레이하는 것이 바람직하다.
전처리 후의 기판의 단면도가 도 3b에 도시된다. 사전웨팅 액체로 된 연속하는 웨팅 층 (108) 이 기판 상에 형성되며, 이로써 리세스의 하단, 리세스의 측벽들 및 필드 영역에서 모두 니켈-함유 시드 층을 위한 알칼리성 버퍼 환경을 제공한다.
이어서, 동작 405 에서, 금속이 산성의 도금 용액을 사용하여서 기판 상에 전기디포지션된다. 전기디포지션 동안에, 니켈-함유 시드 층은 음으로 바이어스되고 이로써 웨이퍼 기판이 캐소드 역할을 한다. 기판이 도금되는 금속의 이온들 및 바람직하게는 산을 포함하는 도금 용액과 접촉하게 된다. 도금 용액은 또한 첨가제들을 포함할 수 있다. 첨가제들의 실례들은 가속화제, 억제제, 및 평탄화제를 포함한다. 구리의 디포지션을 위한 예시적인 도금 용액은 구리 염 (예를 들어서, 구리 술파이트), 산 (예를 들어서, 황산), 가속화제 (예를 들어서, bis-(3-술포프로필) 디설파이드 (disulfide), SPS), 클로라이드 이온들, 및 억제제를 포함한다. 전기도금이 리세스된 피처들을 적어도 부분적으로 충진하는데 충분한 시간 동안 수행된다. 일부 실시예들에서, 리세스된 피처들은 전부 충진된다. 도 3c에 도시된 구조물이 전기도금에 의해서 구리 (111) 로 전부 충진된 리세스된 피처를 도시한다. 그 밑에 있는 니켈-함유 시드 층은 명료성을 위해서 이 도면에서 도시되지 않는다. 산성의 도금 용액과 접촉하기 이전에 기판이 알카리성 버퍼 용액으로 전처리되기 때문에, 보이드가 충진된 리세스된 피처 내에 형성되지 않는다.
이어서, 동작 407 에서, 과잉 금속이 기판으로부터 제거된다. 일부 실시예들에서, 전기도금 동안에 필드 영역 상에 디포지션된 원치않는 금속이 이후에 예를 들어서 화학적 기계적 폴리싱 또는 습식 에칭 기법에 의해서 제거된다. 이러한 금속 제거 후의 기판의 구조가 도 3d에 도시된다. 예시된 실시예에서, 기판은 전기디포지션된 금속 및 그 아래의 니켈-함유 시드 층을 제거하도록 평탄화된다. 일부 실시예들에서, 확산 베리어 층이 이어서 후속 평탄화 동작에 의해서 제거된다.
일부 실시예들에서, 특히 1 마이크론보다 큰 폭들을 갖는 피처들을 갖는 기판들을 프로세싱할 때에, 기판 상의 피처들 내에서 버블들이 형성되는 것을 방지하기 위한 특별한 단계가 취해진다. 이러한 실시예들이 도 5에 도시된 전처리 프로세스 흐름도에서 예시된다. 동작 501에서, 사전웨팅 액체가 탈기된다 (degassed). 일부 실시예들에서, 탈기는 액체로부터 산소 및 질소 모두를 실질적으로 제거하도록 수행된다. 이러한 포괄적인 탈기는 예를 들어서 사전웨팅 액체를 멤브레인 접촉 디게서 (membrane contact degasser) 를 통과시킴으로써 수행된다. 상업적으로 입수가능한 탈기 디바이스의 실례들은 NC, Charlotte의 Membrana로부터의 Liquid-CelTM 및 Minnesota, Chaska의 Entegris로부터의 pHasorTM 를 포함한다. 동작 503 에서, 웨이퍼 기판을 하우징하는 사전웨팅 프로세스 챔버 내의 압력이 대기압보다 낮은 압력으로 감압된다. 일부 실시예들에서, 압력은 약 10 - 100 torr, 예를 들어서 약 60 torr로 감압된다. 동작 505 에서, 탈기된 사전웨팅 액체가 사전웨팅 챔버 내에 위치한 기판과 접촉된다. 예를 들어서, 기판은 회전되며 이와 동시에 탈기된 사전웨팅 액체가 기판 상으로 스프레이되거나 스트리밍된다. 연속적인 웨팅 층이 이 동작의 결과로서 형성되며 이로써 후속 전기도금 동안에 버블 형성 가능성을 최소화한다. 이어서, 동작 507 에서, 압력이 사전웨팅 챔버 내에서 대기압으로 증가하고, 사전웨팅된 기판이 후속하여서 리세스된 피처들 내로 금속을 전기디포지션하기 위해서 전기도금 챔버로 전달된다. 본 명세서에서 제공된 알카리성 사전웨팅 용액들과 함께 사용될 수 있는 감압된 압력 하에서의 사전웨팅을 위한 장치 및 방법의 세부사항들은 미국 특허 공개 번호 2010/0320609에 기술되며, 이는 Mayer 등에 의해서 2010년 12월 23일자에 공개되었으며, 명칭은 "Wetting Pretreatment for Enhanced Damascene Metal Filling"이며, 이 문헌은 그 전체 내용이 본 명세서에서 참조로서 인용된다.
본 명세서에서 기술된 알카리성 전처리용으로 적합한 사전웨팅 용액은 다양한 방법 시퀀스들을 사용하여서 준비될 수 있다. 도 6은 붕산염 버퍼를 포함하는 사전웨팅 용액을 준비하는 일 예시적인 방법을 도시한다. 동작 601에서, 물 (예를 들어서 탈이온화된 물) 내의 폴리알킬렌 글리콜들의 부류로부터의 화합물의 용액이 제공된다. 이어서, 동작 603 에서, 붕산이 이 용액에 첨가된다. 이어서, 동작 605 에서, pH 조절제 (예를 들어서, KOH, NaOH, TMAH, 또는 NH3) 가 용액의 pH가 목표 값에 도달할 때까지 용액에 첨가된다. 이어서, 형성된 용액이 동작 607 에서 선택사양적으로 탈기된다. 일부 실시예들에서, 사전웨팅 용액은 필수적으로 물, 버퍼링 화합물 (예를 들어서, 붕산염, 인산염, 구연산염, 탄산염 또는 이들의 조합), 폴리알킬렌 글리콜들의 부류로부터의 화합물, 및 pH 조절제로 구성되며, 용액의 pH는 목표 값까지 조절된다.
본 명세서에서 제공된 방법들은 사전웨팅 액체를 웨이퍼 상으로 전달하도록 구성된 임의의 타입의 장치에서 실시될 수 있다. 일부 실시예들에서, 전처리는 전기도금 챔버와 상이한 별도의 사전웨팅 챔버에서 수행된다. 다른 실시예들에서, 전처리는 전기도금 이전에 전기도금 챔버에서 수행된다.
일부 실시예들에서, 기판이 전기도금 이전에 사전웨팅 챔버에서 사전-웨팅되며 이로써 리세스된 피처들 내에 버블들이 갇히는 것이 방지된다. 사전웨팅 챔버의 일 실시예가 도 7에서 도시된다. 이 실시예에서 도시된 사전웨팅 챔버는 사전웨팅 액체를 일정 기간 동안에 웨이퍼 기판 상으로 스프레이 또는 스트리밍하도록 구성된다. 도 7에서, 웨이퍼 (701) 가 웨이퍼 홀더 (702) 를 구비한 사전웨팅 챔버 (703) 내에서 상향 대면하게 유지된다. 일부 실시예들에서, 웨이퍼 홀더는 웨이퍼 기판을 사전웨팅 프로세스 동안에 실질적으로 수평 배향으로 유지하게 구성된다. 다른 실시예들에서, 웨이퍼 홀더는 웨이퍼 기판을 사전웨팅 프로세스 동안에 실질적으로 수직 배향으로 유지하게 구성된다.
전형적인 동작 시에, 먼저, 진공이 진공 시스템 (미도시) 에 연결된 진공 포트 (709) 를 통해서 챔버 (703) 에 대해서 가해진다 (pulled). 이는 챔버 내의 압력을 대기압력보다 낮은 압력으로 줄인다. 챔버 내의 대부분의 가스가 진공에 의해서 제거된 후에, 사전-웨팅 액체가 노즐 (705) 또는 다른 메카니즘으로부터 웨이퍼 표면 상으로 전달된다. 일부 실시예들에서, 사전웨팅 유체가 웨이퍼 표면과 접촉되기 이전에 탈기되어서 사전웨팅 유체가 진공 분위기로 들어갈 때가 가스가 배출되는 것을 방지한다. 웨이퍼는 웨이퍼의 완벽한 웨팅 및 노출을 보장하기 위해서 사전웨팅 유체 전달 프로세스 동안에 모터 (707) 로 회전될 수 있다. 일부 실시예들에서, 사전웨팅 유체는 먼저 웨이퍼 기판의 중앙의 약 3 cm 내에서 회전하는 웨이퍼 기판과 접촉한다. 사전웨팅 후에, 웨이퍼는 모터 (707) 를 사용하여서 낮은 회로 레이트로 회전하며 이로써 혼입된 (entrained) 사전웨팅 유체를 제거하지만 얇은 유체 층이 웨이퍼 표면에 남게 한다. 과잉 사전웨팅 유체가 배출되고 포트 (711) 를 통해서 진공 챔버를 나간다. 이어서, 웨이퍼가 그의 표면 상에 그리고 그의 피처 내에서 표면 장력에 의해서 유지되는 얇은 사전웨팅 유체 층을 사용하여서 도금하기 위해서 노벨러스 클램쉘 셀과 같은 도금 셀로 전달된다. 또한, 사전웨팅 챔버는 통상적으로 본 명세서에서 기술된 사전웨팅 프로세스의 다양한 측면들을 수행하기 위한 프로그램 인스트럭션 및/또는 로직을 포함하는 제어기 (713) 를 포함할 것이다.
일부 실시예들에서, 사전웨팅 챔버 및 전기도금 챔버는 하나의 모듈 내에 포함되며, 이 모듈은 사전웨팅이 완료된 후에 사전웨팅 챔버로부터 기판을 전기도금 챔버로 전달하기 위한 프로그램 인스트럭션을 갖는 제어기를 포함할 수 있다.
전기도금 단계가 구현되는 장치가 도 8에 예시된다. 이 장치는 기판 (예를 들어서, 웨이퍼들) 이 프로세싱되는 하나 이상의 전기도금 셀들을 포함한다. 하나의 전기도금 셀은 도 8에서 명료성을 유지하기 위해서 도시된다. 보텀-업 전기도금을 최적화하기 위해서, 첨가제 (예를 들어서, 가속화제 및 억제제) 가 전해질에 첨가되지만; 첨가제를 갖는 전해질은 바람직하지 않은 방식들로 애노드와 반응할 수도 있다. 따라서, 도금 셀의 애노드 영역 및 캐소드 영역은 때로 멤브레인에 의해서 분리되어서 상이한 조성들의 도금 용액들이 각 영역에서 사용될 수 있다. 캐소드 영액에서의 도금 용액은 캐소드액으로 지칭되며, 애노드 영역에서의 도금 용액은 애노드액으로 지칭된다. 다수의 엔지니어링 설계들이 도금 장치 내로 애노드액 및 캐소드액을 도입하기 위해서 사용될 수 있다.
도 8를 참조하면, 일 실시예에 따른 전기도금 장치 (801) 의 도시적인 단면도가 도시된다. 도금 욕 (803) 은 레벨 (805) 에서 도시된 도금 용액 (본 명세서에서 제공된 바와 같은 조성을 가짐) 을 수용한다. 이 용기의 캐소드액 부분은 캐소드액 내에 기판을 수용하도록 구성된다. 웨이퍼 (807) 가 도금 용액으로 침지되며 회전가능한 스핀들 (811) 상에 장착된 예를 들어서 "클램쉘" 홀딩 픽스처 (holding fixture) (809) 에 의해서 유지되며, 회전가능한 스핀들은 웨이퍼 (807) 와 함께 클램쉘 (809) 의 회전을 가능하게 한다. 본 발명에서 사용되기에 적합한 양태들을 갖는 클램쉘 타입 도금 장치의 일반적인 설명이 Patton 등에 허여된 미국 특허 6,156,167 및 Reid 등에 허여된 미국 특허 6,800,187 에서 기술되며, 이 문헌들은 모든 목적을 위해서 본 명세서에서 참조로서 인용된다.
애노드 (813) 는 도금 욕 (803) 내에서 웨이퍼 아래에 배치되며 멤브레인 (815), 바람직하게는 이온 선택성 멤브레인에 의해서 웨이퍼 영역으로부터 분리된다. 예를 들어서, NafionTM 양이온 교환 멤브레인 (cationic exchange membrane) (CEM) 이 사용될 수 있ㄷ. 애노딕 멤브레인 아래의 영역은 때로 "애노드 챔버"로서 지칭된다. 이온 선택성 애노드 멤브레인 (815) 은 도금 셀의 애노드 영역과 캐소드 영역 간에서 이온이 서로 통하게 하면서 동시에 애노드에서 생성된 입자들이 웨이퍼 근처로 가서 웨이퍼를 오염시키는 것을 방지한다. 애노드 멤브레인은 또한 도금 프로세스 동안에 전류 흐름을 재분포시켜서 도금 균일성을 개선시키는데 유용하다. 적합한 애노딕 멤브레인들의 상세한 설명들은 Reid 등에 허여된 미국 특허들 6,126,798 및 6,569,299에서 제공되며, 이 두 문헌은 모든 목적을 위해서 본 명세서에서 참조로서 인용된다. 양이온 교환 멤브레인들과 같은 이온 교환 멤브레인이 이러한 애플리케이션들을 위해서 특히 적합하다. 이러한 멤브레인들은 통상적으로 이오노메릭 재료들 (ionomeric materials), 예를 들어서 술포닉 그룹들을 포함하는 과불화 공중합체들 (perfluorinated co-polymers) (예를 들어서, NafionTM), 술폰화 폴리이미드들 (sulfonated polyimides), 및 양이온 교환을 위해서 적합하다고 본 기술 분야의 당업자에게 알려진 다른 재료들로 이루어진다. 적합한 NafionTM 멤브레인들의 선택된 실례들은 Dupont de Nemours Co으로부터 입수가능한 N324 멤브레인 및 N424 멤브레인을 포함한다.
도금 동안에, 도금 용액으로부터의 이온들이 기판 상에 디포지션된다. 금속 이온들은 확산 경계 층을 통해서 그리고 TSV 홀 내로 확산되어야 한다. 이러한 확산을 지원하는 통상적인 방식인 펌프 (817) 에 의해서 제공된 전기도금 용액의 대류성 흐름을 통해서이다. 또한, 진동 교반 또는 음파 교반 (sonic agitation) 이 웨이퍼 회전과 함께 사용될 수 있다. 예를 들어서, 진동 트랜스듀서 (808) 가 웨이퍼 척 (809) 에 부착될 수 있다.
도금 용액은 펌프 (817) 에 의해서 도금 욕 (803) 에 연속적으로 제공된다. 일반적으로, 도금 용액은 상향으로 애노드 멤브레인 (815) 및 확산기 플레이트 (819) 를 통해서 웨이퍼 (807) 의 중앙으로 유동하고 이어서 웨이퍼 (807) 에 걸쳐서 방사상 외측으로 유동한다. 또한, 도금 용액은 도금 욕 (803) 의 일측으로부터 도금 욕의 애노드 영역으로 제공될 수 있다. 이어서, 도금 용액은 도금 욕 (803) 을 오버플로우하여서 오버플로우 저장부 (821) 로 들어간다. 도금 용액은 이어서 여과되며 (미도시) 펌프 (817) 로 돌아가서 도금 용액의 재순환을 완성한다. 도금 셀의 특정 구성들에서, 개별 전해질이, 조금씩 침투가능한 멤브레인들 또는 이온 선택성 멤브레인들을 사용하여서 주 도금 용액과의 혼합이 방지되면서, 애노드가 수용된 도금 셀의 부분을 통해서 순환된다.
기준 전극 (831) 은 별도의 챔버 (833) 내의 도금 욕 (803) 의 외측에 위치하며, 이 챔버는 주 도금 욕 (803) 으로부터 오버플로우된 용액으로 채워진다. 이와 달리, 일부 실시예들에서, 기준 전극은 가능한한 기판 표면에 근접하게 위치하며 기준 전극 챔버가 모세관 튜브를 통해서 또는 다른 방법에 의해서 웨이퍼 기판의 측면과 연결되거나 웨이퍼 기판 아래와 직접적으로 연결된다. 바람직한 실시예들 중 일부에서, 장치는 웨이퍼 주변부와 연결되어 웨이퍼의 주변부에서의 금속 시드 층의 전위를 감지하도록 구성되지만 웨이퍼로 임의의 전류도 전달하지 않는 접촉 감지 리드들을 더 포함한다.
기준 전극 (831) 은 통상적으로 전기도금이 제어된 전위에서 수행되는 것이 요구되는 경우에 채용된다. 기준 전극 (831) 은 수은/수은 설페이트, 은 클로라이드, 포화된 칼로멜 또는 구리 금속과 같은 다양한 통상적으로 사용되는 타입들 중 하나일 수 있다. 웨이퍼 (807) 와 직접 접촉하는 접촉 감지 리드 (미도시) 가 기준 전극과 더불어서 보다 정확한 전위 측정을 위해서 일부 실시예들에서 사용될 수 있다.
DC 전력 공급부 (835) 가 웨이퍼 (807) 로의 전류 흐름을 제어하는데 사용될 수 있다. DC 전력 공급부 (835) 는 하나 이상의 슬립 링들, 브러시들 및 컨택트들 (미도시) 을 통해서 웨이퍼 (807) 에 전기적으로 접속된 음의 출력 리드 (839) 를 갖는다. 전력 공급부 (835) 의 양의 출력 리드 (841) 는 도금 욕 (803) 내에 위치한 애노드 (813) 와 전기적으로 접속된다. 전력 공급부 (835), 기준 전극 (831) 및 접촉 감지 리드 (미도시) 는 시스템 제어기 (847) 에 접속되며 이 제어기는 다른 기능들 중에서도 전기도금 셀의 요소들에 제공된 전류 및 전위를 조절하는 것을 가능하게 한다. 예를 들어서, 제어기는 전위가 제어되고 전류가 제어되는 방식으로 전기도금이 되게 한다. 제어기는 도금 셀의 다양한 요소들에 인가될 필요가 있는 전류 및 전압 레벨 및 이러한 레벨들이 변화되어야 하는 시간들을 특정하는 프로그램 인스트럭션들을 포함한다. 순방향 전류가 인가되면, 전력 공급부 (835) 는 웨이퍼 (807) 가 애노드 (813) 에 대해서 음의 전위를 갖도록 웨이퍼를 바이어스한다. 이로써, 전류가 애노드 (813) 로부터 웨이퍼 (807) 로 흐르며 전기화학적 환원 (예를 들어서, Cu2+ + 2 e- = Cu0) 이 웨이퍼 표면 (캐소드) 상에서 발생하여서, 웨이퍼의 표면 상에 전기적으로 도전성인 층 (구리) 이 디포지션된다. 비활성 애노드 (814) 가 도금 욕 (803) 내에서 웨이퍼 (807) 아래에서 설치되고 멤브레인 (815) 에 의해서 웨이퍼 영역과 분리될 수도 있다.
장치는 또한 도금 용액 온도를 특정 레벨로 유지하는 가열기 (845) 를 더 포함할 수 있다. 도금 용액은 도금 욕의 다른 요소들로 열을 전달하는데 사용될 수도 있다. 예를 들어서, 웨이퍼 (807) 가 도금 욕 내로 로딩되면, 가열기 (845) 및 펌프 (817) 가 턴 온되어서 장치 전반의 온도가 실질적으로 일정하게 될 때까지 도금 용액을 전기도금 장치 (801) 를 통해서 순환시킬 수 있다. 일부 실시예에서, 가열기는 시스템 제어기 (847) 에 접속된다. 시스템 제어기 (847) 는 써모커플에 연결되어서 전기도금 장치 내의 도금 용액 온도의 피드백을 수신하여서 추가적인 가열이 필요한지를 결정할 수 있다.
제어기는 통상적으로 하나 이상의 메모리 장치 및 하나 이상의 프로세서를 포함한다. 이 프로세서는 CPU, 컴퓨터, 아날로그 및/또는 디지털 입출력 접속부, 스텝퍼 모터 제어기 보드, 등을 포함한다. 특정 실시예들에서, 제어기는 전기도금 장치 및/또는 사전-웨팅 장치의 동작들을 모두 제어한다.
예를 들어서, 제어기는 첨부된 청구항들에서 또는 위에서 기술된 임의의 방법에 따라서 전처리 및 전기도금을 수행하기 위한 인스트럭션들을 포함할 수 있다. 본 발명에 따라서 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 비일시적 머신-판독가능한 매체가 시스템 제어기에 커플링될 수 있다.
통상적으로, 제어기 (847) 와 연관된 사용자 인터페이스가 존재할 수 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 상태의 그래픽 소프트웨어 디스플레이, 포인팅 장치, 키보드, 터치 스크린, 마이크로폰 등과 같은 사용자 입력 장치를 포함할 수 있다.
전기도금 프로세스들을 제어하기 위한 컴퓨터 프로그램 코드가 예를 들어서 어셈블리 언어, C, C++, 파스칼, 포트란, 또는 다른 것들과 같은 임의의 통상적인 컴퓨터 판독 가능한 프로그래밍 언어로 기록될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램 내에 특정된 태스크들을 수행하도록 프로세서에 의해서 실행된다.
일부 실시예들에서, 본 명세서에서 기술된 방법들은 전기도금 장치 및 스텝퍼를 포함하는 시스템에서 구현될 것이다.
실험
실례 1 (비교예). 60 ㎛ 깊이 및 6 ㎛ 의 개구에서의 직경을 갖는 복수의 비아들을 포함하는 웨이퍼 기판이 사용되었다. 기판은 WN/W 확산 베리어 이중층 상에 무전해 디포지션에 의해서 디포지션된 NiB 시드 층을 갖는다. 기판에 대기압력보다 낮은 압력 하에서 탈기된 탈이온화된 물이 스프레이되어서 웨팅 층을 형성한다. 압력이 이어서 대기압력으로 증가하고 기판이 사전-웨팅 챔버에서 전기도금 셀로 이동하며, 전기도금 셀에서 구리가 60 g/L 구리 이온들, 60 g/L H2SO4, 50 ppm 클로라이드 이온들 및 MLI HSL-A/B/C 가속화제 (accelerator), 억제제 (suppressor), 및 평탄화제 (leveler) (Moses Lake, WA의 Moses Lake Industries로부터 입수가능함) 을 포함하는 산성의 도금 용액을 사용하여서 리세스된 피처들을 충진하도록 전기디포지션되었다. 보이드들이 충진된 비아의 SEM (scanning electron microscope) 이미지들에서 관측되었다. 보이드들은 웨이퍼의 중앙 부분 및 중간 부분에서 위치한 비아들에 있어서 비아들의 하단에서 관측되었다. 웨이퍼 에지에 위치한 비아들에서는 보이드들이 관측되지 않았다.
실례 2 (비교예). 웨이퍼 기판이 사전-웨팅 액체가 Moses Lake, WA의 Moses Lake Industries로부터 입수가능한 폴리알킬렌 글리콜들의 부류로부터의 화합물을 포함하는 혼합물인 HSL-PT1인 것을 제외하면 실례 1에서와 같이 프로세싱되었다. 보이드들은 웨이퍼의 중앙 부분 및 중간 부분에서 위치한 비아들에 있어서 비아들의 하단에서 관측되었다. 웨이퍼 에지에 위치한 비아들에서는 보이드들이 관측되지 않았다.
실례 3. 웨이퍼 기판이 사전-웨팅 액체가 다음의 조성을 갖는 것을 제외하면 실례 1에서와 같이 프로세싱되었다: Moses Lake, WA의 Moses Lake Industries로부터 입수가능한 HSL-PT1, 50 mM 붕산 및 암모니아의 혼합물이며, 암모니아가 pH 9를 유지하도록 용액에 첨가된다. 보이드들이 모든 충진된 비아들의 SEM 이미지들에서 관측되지 않았다. 그러나, 웨이퍼의 에지에서의 비아들은 웨이퍼의 중앙에서의 비아들보다 충진된 비아의 상단 상에 보다 많은 구리가 디포지션되었다.
실례 4. 웨이퍼 기판이 사전-웨팅 액체가 다음의 조성을 갖는 것을 제외하면 실례 1에서와 같이 프로세싱되었다: Moses Lake, WA의 Moses Lake Industries로부터 입수가능한 HSL-PT1, 50 mM 붕산 및 KOH의 혼합물이며, KOH가 pH 11를 유지하도록 용액에 첨가된다. 보이드들이 모든 충진된 비아들의 SEM 이미지들에서 관측되지 않았다. 충진된 비아들의 상단 상에 디포지션된 구리의 양은 실례 3에서보다 크게 균일하게 되었다. 실례들에서 기술된 모든 용액들은 수용액이다.
다른 실시예들
버퍼들인 전처리 용액들을 사용하는 것이 수많을 실시예들에서 바람직하지만, 다른 실시예들에서, 버퍼링 능력을 가지지 못한 강하게 알카리성의 용액들이 전처리를 위해서 사용될 수 있다. 예를 들어서, 일부 실시예들에서, 용액들은 적어도 약 9, 예를 들어서 적어도 약 11의 pH를 가지며 pH 조절제 (예를 들어서, 알카리성 금속 하이드록사이드, 테트라알킬암모니윰 하이드록사이드) 및 선택사양적으로 폴리알킬렌 글리콜들의 부류로부터의 화합물을 포함한다. 이러한 다른 실시예들 중 일부에서, 용액은 필수적으로 상술한 바와 같은 pH 조절제, 물, 및 폴리알킬렌 글리콜들의 부류로부터의 화합물로 구성된다.

Claims (25)

  1. 하나 이상의 리세스된 (recessed) 피처들을 포함하는 웨이퍼 기판 상에 금속을 전기도금하는 방법으로서,
    (a) 웨이퍼 기판의 표면의 적어도 일부 상에 노출된 시드 (seed) 층을 갖는 상기 웨이퍼 기판을 제공하는 단계;
    (b) 사전-웨팅 액체가 상기 웨이퍼 기판 상의 상기 시드 층을 사전-웨팅하도록 상기 웨이퍼 기판을 상기 사전-웨팅 액체와 접촉시키는 단계로서, 상기 사전-웨팅 액체는 버퍼 (buffer) 를 포함하며 7 내지 13의 pH를 갖고, 상기 웨이퍼 기판은 상기 사전-웨팅 이후 상기 버퍼-함유 사전-웨팅 액체를 보유하는, 상기 접촉시키는 단계; 및
    (c) 산성 도금 용액이 상기 웨이퍼 기판 상에 보유된 상기 사전-웨팅 액체와 접촉하도록 상기 산성 도금 용액을 사용하여 상기 사전-웨팅된 시드 층 상으로 상기 금속을 전기디포지션 (electrodeposition) 하는 단계로서, 상기 전기디포지션된 금속은 상기 하나 이상의 리세스된 피처들을 적어도 부분적으로 충진하는, 상기 전기디포지션하는 단계를 포함하는, 전기도금 방법.
  2. 제 1 항에 있어서,
    상기 사전-웨팅 액체는 붕산염을 포함하며 9 내지 11의 pH를 갖는, 전기도금 방법.
  3. 제 1 항에 있어서,
    상기 사전-웨팅 액체는 인산염을 포함하는, 전기도금 방법.
  4. 제 1 항에 있어서,
    상기 사전-웨팅 액체는 탄산염을 포함하는, 전기도금 방법.
  5. 제 1 항에 있어서,
    상기 사전-웨팅 액체는 테트라알킬암모니윰 하이드록사이드 및 알카리 금속 하이드록사이드로 구성된 그룹으로부터 선택된 pH 조절제를 포함하는, 전기도금 방법.
  6. 제 1 항에 있어서,
    상기 사전-웨팅 액체는 pH 조절제를 포함하며, 상기 pH 조절제는 아민을 포함하는, 전기도금 방법.
  7. 제 1 항에 있어서,
    상기 사전-웨팅 액체는 폴리알킬렌 글리콜들의 부류로부터의 화합물을 포함하는, 전기도금 방법.
  8. 제 1 항에 있어서,
    상기 웨이퍼 기판을 접촉시키기 이전에 상기 사전-웨팅 액체를 탈기시키는 단계를 더 포함하는, 전기도금 방법.
  9. 제 1 항에 있어서,
    상기 웨이퍼 기판을 상기 사전-웨팅 액체와 접촉시키기 이전에 사전-웨팅 프로세스 챔버 내의 압력을 대기압보다 낮은 압력으로 감압하는 단계를 더 포함하는, 전기도금 방법.
  10. 제 1 항에 있어서,
    상기 시드 층은 니켈-함유 층인, 전기도금 방법.
  11. 제 10 항에 있어서,
    상기 니켈-함유 층은 NiP 또는 NiB 층인, 전기도금 방법.
  12. 제 1 항에 있어서, 상기 단계 (c) 에서 전기디포지션된 금속은 구리인, 전기도금 방법.
  13. 제 1 항에 있어서,
    상기 하나 이상의 리세스된 피처들은 실리콘 관통 비아들 (TSV) 인, 전기도금 방법.
  14. 제 1 항에 있어서,
    상기 사전-웨팅 액체는 붕산염, KOH, 및 폴리알킬렌 글리콜들의 부류로부터의 화합물을 포함하며, 11의 pH를 갖는, 전기도금 방법.
  15. 제 1 항에 있어서,
    상기 접촉시키는 단계는 상기 사전-웨팅 액체를 상기 웨이퍼 기판 상으로 스프레이 (spray) 하는 단계를 포함하는, 전기도금 방법.
  16. 제 1 항에 있어서,
    상기 웨이퍼 기판은 상기 접촉시키는 단계 동안에 수평인 배향으로 위치되는, 전기도금 방법.
  17. 제 1 항에 있어서,
    상기 버퍼는 10 mM 내지 1 M의 농도의 붕산염을 포함하는, 전기도금 방법.
  18. 제 1 항에 있어서,
    상기 웨이퍼 기판에 포토레지스트를 도포하는 단계;
    상기 포토레지스트를 노광시키는 단계;
    상기 포토레지스트를 패터닝하고 패턴을 워크피스에 전사하는 단계; 및
    상기 워크피스로부터 상기 포토레지스트를 선택적으로 제거하는 단계를 더 포함하는, 전기도금 방법.
  19. 제 1 항에 있어서,
    상기 시드 층은 산-민감성인, 전기도금 방법.
  20. 제 19 항에 있어서,
    상기 웨이퍼 기판을 접촉시키기 이전에 상기 사전-웨팅 액체를 탈기시키는 단계를 더 포함하는, 전기도금 방법.
  21. 제 20 항에 있어서,
    상기 웨이퍼 기판을 상기 사전-웨팅 액체와 접촉시키기 이전에 사전-웨팅 프로세스 챔버 내의 압력을 대기압보다 낮은 압력으로 감압하는 단계를 더 포함하는, 전기도금 방법.
  22. 하나 이상의 리세스된 피처들을 포함하는 웨이퍼 기판 상의 시드 층 상에 금속을 전기도금하기 위한 장치로서,
    (a) 사전-웨팅 액체를 상기 웨이퍼 기판 상으로 전달하도록 구성된 사전-웨팅 챔버;
    (b) 산성 도금 용액을 수용하도록 구성된 도금 용기로서, 상기 장치는 상기 도금 용액으로부터의 금속을 상기 웨이퍼 기판 상의 상기 시드 층 상으로 전기디포지션하도록 구성된, 상기 도금 용기; 및
    (c) 프로그램 인스트럭션들 또는 로직 중 적어도 하나를 포함하는 제어기를 포함하며,
    상기 프로그램 인스트럭션들 또는 로직 중 적어도 하나는,
    (i) 상기 사전-웨팅 액체가 상기 웨이퍼 기판 상의 상기 시드 층을 사전-웨팅하도록 상기 웨이퍼 기판을 상기 사전-웨팅 액체와 접촉시키는 동작으로서, 상기 사전-웨팅 액체는 버퍼 (buffer) 를 포함하며 7 내지 13의 pH를 갖고, 상기 웨이퍼 기판은 상기 사전-웨팅 이후 상기 버퍼-함유 사전-웨팅 액체를 보유하는, 상기 접촉시키는 동작; 및
    (ii) 상기 산성 도금 용액이 상기 웨이퍼 기판 상에 보유된 상기 사전-웨팅 액체와 접촉하도록 상기 산성 도금 용액을 사용하여 상기 사전-웨팅된 시드 층 상으로 상기 금속을 전기디포지션 (electrodeposition) 하는 동작으로서, 상기 산성 도금 용액이 상기 웨이퍼 기판 상에 보유된 상기 사전-웨팅 액체와 접촉하도록 상기 전기디포지션된 금속은 상기 하나 이상의 리세스된 피처들을 적어도 부분적으로 충진하는, 상기 전기디포지션하는 동작을 위한 것인, 전기도금 장치.
  23. 제 22 항에 있어서,
    상기 제어기는 상기 웨이퍼 기판과 접촉시키기 이전에 상기 사전-웨팅 액체를 탈기시키기 위한 프로그램 인스트럭션들 또는 로직 중 적어도 하나를 더 포함하는, 전기도금 장치.
  24. 제 23 항에 있어서,
    상기 제어기는 상기 웨이퍼 기판을 상기 사전-웨팅 액체와 접촉시키기 이전에 상기 사전-웨팅 챔버 내의 압력을 대기압보다 낮은 압력으로 감압시키기 위한 프로그램 인스트럭션들 또는 로직 중 적어도 하나를 더 포함하는, 전기도금 장치.
  25. 제 1 항 내지 제 18 항 중 어느 한 항에 기재된 웨이퍼 기판 상에 금속을 전기도금하는 방법을 구현하기 위해 사용되는 사전-웨팅 액체로서,
    (a) 테트라알킬암모니윰 하이드록사이드 및 알카리 금속 하이드록사이드로 구성된 그룹으로부터 선택된 pH 조절제 및 붕산염을 포함하는 붕산염 버퍼; 및
    (b) 폴리알킬렌 글리콜들의 부류로부터의 화합물을 포함하며, 상기 사전-웨팅 액체의 pH는 8 내지 13인, 사전-웨팅 액체.
KR1020140160253A 2013-11-20 2014-11-17 전기도금을 위한 알카리성 전처리 KR102348574B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/085,262 2013-11-20
US14/085,262 US9435049B2 (en) 2013-11-20 2013-11-20 Alkaline pretreatment for electroplating

Publications (2)

Publication Number Publication Date
KR20150058038A KR20150058038A (ko) 2015-05-28
KR102348574B1 true KR102348574B1 (ko) 2022-01-06

Family

ID=53173729

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140160253A KR102348574B1 (ko) 2013-11-20 2014-11-17 전기도금을 위한 알카리성 전처리

Country Status (4)

Country Link
US (1) US9435049B2 (ko)
KR (1) KR102348574B1 (ko)
CN (1) CN104651893B (ko)
TW (1) TWI656246B (ko)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9677188B2 (en) 2009-06-17 2017-06-13 Novellus Systems, Inc. Electrofill vacuum plating cell
US9455139B2 (en) 2009-06-17 2016-09-27 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US20100320081A1 (en) 2009-06-17 2010-12-23 Mayer Steven T Apparatus for wetting pretreatment for enhanced damascene metal filling
US9613833B2 (en) 2013-02-20 2017-04-04 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9617648B2 (en) 2015-03-04 2017-04-11 Lam Research Corporation Pretreatment of nickel and cobalt liners for electrodeposition of copper into through silicon vias
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
KR102467848B1 (ko) 2015-10-12 2022-11-16 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9837312B1 (en) * 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
CN111937122A (zh) 2018-03-30 2020-11-13 朗姆研究公司 难熔金属和其他高表面结合能材料的原子层蚀刻和平滑化
CN108570701B (zh) * 2018-07-03 2024-02-23 华进半导体封装先导技术研发中心有限公司 一种电镀润湿装置
CN110895384B (zh) * 2018-09-13 2023-08-29 长鑫存储技术有限公司 一种浸润式曝光扫描载台装置及晶圆曝光方法
US11512406B2 (en) * 2019-10-17 2022-11-29 Rohm And Haas Electronic Materials Llc Method of enhancing copper electroplating
CN112397422B (zh) * 2020-11-19 2023-08-29 苏州尊恒半导体科技有限公司 一种晶圆深孔电镀前处理润湿方法
CN113430596A (zh) * 2021-07-23 2021-09-24 赛莱克斯微系统科技(北京)有限公司 一种硅通孔铜电镀液及其电镀方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030116439A1 (en) * 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
US20130143071A1 (en) * 2010-08-17 2013-06-06 Chemetall Gmbh Process for the electroless copper plating of metallic substrates

Family Cites Families (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1255395A (en) 1916-05-05 1918-02-05 Arthur E Duram Liquid-separator and the like.
US3360248A (en) 1964-10-23 1967-12-26 Houdaille Industries Inc Thin stream direct contact fluid heater
US3849002A (en) 1973-05-11 1974-11-19 Hach Chemical Co Method and apparatus for eliminating air during fluid turbidity measurement
US4101919A (en) 1976-08-02 1978-07-18 Quantor Corporation Film processing apparatus
US4229191A (en) 1978-08-04 1980-10-21 Moore Lester P Technique for modifying the capacity of gas-liquid separator
EP0021570B1 (en) 1979-05-23 1983-10-05 Imperial Chemical Industries Plc Process and apparatus for the treatment of waste water
US4816081A (en) 1987-02-17 1989-03-28 Fsi Corporation Apparatus and process for static drying of substrates
US5000827A (en) 1990-01-02 1991-03-19 Motorola, Inc. Method and apparatus for adjusting plating solution flow characteristics at substrate cathode periphery to minimize edge effect
US5221449A (en) 1990-10-26 1993-06-22 International Business Machines Corporation Method of making Alpha-Ta thin films
JPH0819516B2 (ja) 1990-10-26 1996-02-28 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン 薄膜状のアルファTaを形成するための方法および構造
SE467976B (sv) 1991-02-20 1992-10-12 Dcm Innovation Ab Anordning foer elektroplaetering, vid framstaellning av matriser foer tillverkning av t ex cd-skivor samt foerfarande foer tillverkning av matriser medelst anordningen
US5482611A (en) 1991-09-30 1996-01-09 Helmer; John C. Physical vapor deposition employing ion extraction from a plasma
JPH07230173A (ja) 1994-02-17 1995-08-29 Dainippon Screen Mfg Co Ltd 現像方法及びその装置
JPH08265358A (ja) 1995-03-20 1996-10-11 Hitachi Ltd 無線lanシステム及びその基地局装置、無線端末装置及び情報フレームの中継方法
US5800626A (en) 1997-02-18 1998-09-01 International Business Machines Corporation Control of gas content in process liquids for improved megasonic cleaning of semiconductor wafers and microelectronics substrates
US5831727A (en) 1997-04-29 1998-11-03 Hach Company Bubble elimination from liquid
US5985762A (en) 1997-05-19 1999-11-16 International Business Machines Corporation Method of forming a self-aligned copper diffusion barrier in vias
US6017437A (en) 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
DE69840975D1 (de) 1997-09-02 2009-08-27 Ebara Corp Verfahren und Vorrichtung zum Aufbringen einer Schichten auf einen Körper
US6156167A (en) 1997-11-13 2000-12-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating semiconductor wafers
US6179983B1 (en) 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US6159354A (en) 1997-11-13 2000-12-12 Novellus Systems, Inc. Electric potential shaping method for electroplating
US6126798A (en) 1997-11-13 2000-10-03 Novellus Systems, Inc. Electroplating anode including membrane partition system and method of preventing passivation of same
EP1055020A2 (en) 1998-02-12 2000-11-29 ACM Research, Inc. Plating apparatus and method
DE69929967T2 (de) 1998-04-21 2007-05-24 Applied Materials, Inc., Santa Clara Elektroplattierungssystem und verfahren zur elektroplattierung auf substraten
JPH11307481A (ja) 1998-04-24 1999-11-05 Sony Corp 電解めっき装置および電解めっき方法
US6217716B1 (en) 1998-05-06 2001-04-17 Novellus Systems, Inc. Apparatus and method for improving target erosion in hollow cathode magnetron sputter source
US6099702A (en) 1998-06-10 2000-08-08 Novellus Systems, Inc. Electroplating chamber with rotatable wafer holder and pre-wetting and rinsing capability
US6074544A (en) 1998-07-22 2000-06-13 Novellus Systems, Inc. Method of electroplating semiconductor wafer using variable currents and mass transfer to obtain uniform plated layer
US6793796B2 (en) 1998-10-26 2004-09-21 Novellus Systems, Inc. Electroplating process for avoiding defects in metal features of integrated circuit devices
US6402923B1 (en) 2000-03-27 2002-06-11 Novellus Systems Inc Method and apparatus for uniform electroplating of integrated circuits using a variable field shaping element
US6413388B1 (en) 2000-02-23 2002-07-02 Nutool Inc. Pad designs and structures for a versatile materials processing apparatus
US6124203A (en) 1998-12-07 2000-09-26 Advanced Micro Devices, Inc. Method for forming conformal barrier layers
US6004470A (en) 1999-01-04 1999-12-21 Abril; Tim Apparatus and method for coolant/tramp oil separation
US6179973B1 (en) 1999-01-05 2001-01-30 Novellus Systems, Inc. Apparatus and method for controlling plasma uniformity across a substrate
US6193854B1 (en) 1999-01-05 2001-02-27 Novellus Systems, Inc. Apparatus and method for controlling erosion profile in hollow cathode magnetron sputter source
US6221757B1 (en) 1999-01-20 2001-04-24 Infineon Technologies Ag Method of making a microelectronic structure
US6582578B1 (en) 1999-04-08 2003-06-24 Applied Materials, Inc. Method and associated apparatus for tilting a substrate upon entry for metal deposition
US6368475B1 (en) 2000-03-21 2002-04-09 Semitool, Inc. Apparatus for electrochemically processing a microelectronic workpiece
US6333275B1 (en) 1999-10-01 2001-12-25 Novellus Systems, Inc. Etchant mixing system for edge bevel removal of copper from silicon wafers
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6251242B1 (en) 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6551483B1 (en) 2000-02-29 2003-04-22 Novellus Systems, Inc. Method for potential controlled electroplating of fine patterns on semiconductor wafers
EP1229154A4 (en) 2000-03-17 2006-12-13 Ebara Corp METHOD AND DEVICE FOR ELECTROPLATING
JP4664320B2 (ja) 2000-03-17 2011-04-06 株式会社荏原製作所 めっき方法
KR20010107766A (ko) 2000-05-26 2001-12-07 마에다 시게루 기판처리장치 및 기판도금장치
EP1295314A2 (en) 2000-06-26 2003-03-26 Applied Materials, Inc. Method and apparatus for wafer cleaning
US6576110B2 (en) 2000-07-07 2003-06-10 Applied Materials, Inc. Coated anode apparatus and associated method
JP2002097598A (ja) 2000-09-25 2002-04-02 Mitsubishi Electric Corp 電解メッキ装置
US6964792B1 (en) 2000-11-03 2005-11-15 Novellus Systems, Inc. Methods and apparatus for controlling electrolyte flow for uniform plating
US6610189B2 (en) 2001-01-03 2003-08-26 Applied Materials, Inc. Method and associated apparatus to mechanically enhance the deposition of a metal film within a feature
EP1357989A4 (en) 2001-02-07 2005-05-18 Mykrolis Corp PROCESS FOR DEGASSING AN AQUEOUS GALVANOPLASTIC DEPOSITION BATH
AU2002254889A1 (en) 2001-02-08 2002-08-19 Omg Ag And Co. Kg Three-dimensional catalyst gauzes knitted in two or more layers
US6540899B2 (en) 2001-04-05 2003-04-01 All Wet Technologies, Inc. Method of and apparatus for fluid sealing, while electrically contacting, wet-processed workpieces
US6800187B1 (en) 2001-05-31 2004-10-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating wafers
US6551487B1 (en) 2001-05-31 2003-04-22 Novellus Systems, Inc. Methods and apparatus for controlled-angle wafer immersion
US20040188257A1 (en) 2001-08-31 2004-09-30 John Klocke Methods for processing micro-feature workpieces, patterned structures on micro-feature workpieces, and integrated tools for processing micro-feature workpieces
TWI227285B (en) 2001-10-15 2005-02-01 Univ Southern California Methods of and apparatus for producing a three-dimensional structure
JP2003129298A (ja) 2001-10-17 2003-05-08 Matsushita Electric Ind Co Ltd メッキ液評価装置、メッキ液評価方法、電子デバイスの製造装置及び電子デバイスの製造方法
US6753250B1 (en) 2002-06-12 2004-06-22 Novellus Systems, Inc. Method of fabricating low dielectric constant dielectric films
US6964740B2 (en) 2002-06-25 2005-11-15 Dwain E. Morse System and method of gas energy management for particle flotation and separation
US6749739B2 (en) 2002-10-07 2004-06-15 Eci Technology, Inc. Detection of suppressor breakdown contaminants in a plating bath
JP4015531B2 (ja) 2002-10-31 2007-11-28 大日本スクリーン製造株式会社 メッキ装置およびメッキ方法
US7189146B2 (en) 2003-03-27 2007-03-13 Asm Nutool, Inc. Method for reduction of defects in wet processed layers
US20040200725A1 (en) 2003-04-09 2004-10-14 Applied Materials Inc. Application of antifoaming agent to reduce defects in a semiconductor electrochemical plating process
JP2004315889A (ja) 2003-04-16 2004-11-11 Ebara Corp 半導体基板のめっき方法
US20060141157A1 (en) 2003-05-27 2006-06-29 Masahiko Sekimoto Plating apparatus and plating method
US20050026455A1 (en) 2003-05-30 2005-02-03 Satomi Hamada Substrate processing apparatus and substrate processing method
JP2006004955A (ja) 2003-05-30 2006-01-05 Ebara Corp 基板処理装置及び基板処理方法
US7270734B1 (en) * 2003-06-06 2007-09-18 Technic, Inc. Near neutral pH cleaning/activation process to reduce surface oxides on metal surfaces prior to electroplating
JP2005048209A (ja) 2003-07-30 2005-02-24 Hitachi Ltd 無電解メッキ方法、無電解メッキ装置、半導体装置の製造方法及びその製造装置
ATE450813T1 (de) 2004-05-17 2009-12-15 Fujifilm Corp Verfahren zur erzeugung eines musters
JP4973829B2 (ja) 2004-07-23 2012-07-11 上村工業株式会社 電気銅めっき浴及び電気銅めっき方法
TWI400365B (zh) 2004-11-12 2013-07-01 Enthone 微電子裝置上的銅電沈積
US7989347B2 (en) 2006-03-30 2011-08-02 Freescale Semiconductor, Inc. Process for filling recessed features in a dielectric substrate
KR100832705B1 (ko) 2006-12-23 2008-05-28 동부일렉트로닉스 주식회사 시스템 인 패키지의 비아 도금방법 및 그 시스템
JP4805862B2 (ja) 2007-02-21 2011-11-02 富士通セミコンダクター株式会社 基板処理装置、基板処理方法、及び半導体装置の製造方法
US20100084275A1 (en) 2007-03-15 2010-04-08 Mikio Hanafusa Copper electrolytic solution and two-layer flexible substrate obtained using the same
TWI341554B (en) 2007-08-02 2011-05-01 Enthone Copper metallization of through silicon via
JP2009064599A (ja) 2007-09-05 2009-03-26 Canon Inc 塗布装置
CN101971037A (zh) 2008-03-14 2011-02-09 富士胶片株式会社 探针卡
US7776741B2 (en) 2008-08-18 2010-08-17 Novellus Systems, Inc. Process for through silicon via filing
US8237246B2 (en) 2009-02-12 2012-08-07 International Business Machines Corporation Deep trench crackstops under contacts
US8404095B2 (en) 2009-06-02 2013-03-26 The United States Of America, As Represented By The Secretary Of The Navy Preparing electrodes for electroplating
US20100320081A1 (en) 2009-06-17 2010-12-23 Mayer Steven T Apparatus for wetting pretreatment for enhanced damascene metal filling
US9677188B2 (en) 2009-06-17 2017-06-13 Novellus Systems, Inc. Electrofill vacuum plating cell
US9455139B2 (en) 2009-06-17 2016-09-27 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
CN106245073B (zh) 2010-05-19 2019-12-20 诺发系统有限公司 用金属电化学填充高纵横比的大型凹入特征的方法、水溶液电镀槽溶液、电镀设备以及系统
JP2012224944A (ja) * 2011-04-08 2012-11-15 Ebara Corp 電気めっき方法
KR102113883B1 (ko) * 2012-03-13 2020-05-22 노벨러스 시스템즈, 인코포레이티드 관통 레지스트 금속 도금을 위한 웨팅 전처리의 방법들 및 장치
CN102786879B (zh) * 2012-07-17 2014-04-23 清华大学 钛酸钡化学机械抛光水性组合物及其应用
US9613833B2 (en) 2013-02-20 2017-04-04 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030116439A1 (en) * 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
US20130143071A1 (en) * 2010-08-17 2013-06-06 Chemetall Gmbh Process for the electroless copper plating of metallic substrates

Also Published As

Publication number Publication date
US20150140814A1 (en) 2015-05-21
TWI656246B (zh) 2019-04-11
TW201527607A (zh) 2015-07-16
US9435049B2 (en) 2016-09-06
CN104651893A (zh) 2015-05-27
CN104651893B (zh) 2017-09-01
KR20150058038A (ko) 2015-05-28

Similar Documents

Publication Publication Date Title
KR102348574B1 (ko) 전기도금을 위한 알카리성 전처리
JP6832067B2 (ja) シリコン貫通ビア内への銅の電着のための、ニッケルライナおよびコバルトライナの前処理
TWI523976B (zh) 利用具有雙態抑制劑的電解液之矽穿孔填充
KR101105485B1 (ko) 관통전극형 채움 장치 및 방법
TWI499695B (zh) 用於增進鑲嵌金屬填充之濕潤預處理裝置
US20220010446A1 (en) Electrodeposition of nanotwinned copper structures
US9376758B2 (en) Electroplating method
TWI810195B (zh) 通遮罩互連線製造中的電氧化金屬移除
US20150053565A1 (en) Bottom-up fill in damascene features
US20120064462A1 (en) By-product mitigation in through-silicon-via plating
US20220275531A1 (en) Differential contrast plating for advanced packaging applications
US8268155B1 (en) Copper electroplating solutions with halides
US20160355939A1 (en) Polarization stabilizer additive for electroplating
WO2021142357A1 (en) Tsv process window and fill performance enhancement by long pulsing and ramping
KR20110044834A (ko) 개선된 다마신 금속 충전에 있어서 웨팅 전처리를 위한 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant