KR101809582B1 - 열산 발생제 및 포토레지스트 패턴 트리밍 조성물 및 방법 - Google Patents

열산 발생제 및 포토레지스트 패턴 트리밍 조성물 및 방법 Download PDF

Info

Publication number
KR101809582B1
KR101809582B1 KR1020160136778A KR20160136778A KR101809582B1 KR 101809582 B1 KR101809582 B1 KR 101809582B1 KR 1020160136778 A KR1020160136778 A KR 1020160136778A KR 20160136778 A KR20160136778 A KR 20160136778A KR 101809582 B1 KR101809582 B1 KR 101809582B1
Authority
KR
South Korea
Prior art keywords
photoresist pattern
group
photoresist
trimming
thermal acid
Prior art date
Application number
KR1020160136778A
Other languages
English (en)
Other versions
KR20170051273A (ko
Inventor
카우르 어빈더
리우 콩
로웰 케빈
폴러스 게르하르트
리 밍치
Original Assignee
롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨 filed Critical 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨
Publication of KR20170051273A publication Critical patent/KR20170051273A/ko
Application granted granted Critical
Publication of KR101809582B1 publication Critical patent/KR101809582B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/28Sulfonic acids having sulfo groups bound to carbon atoms of six-membered aromatic rings of a carbon skeleton
    • C07C309/57Sulfonic acids having sulfo groups bound to carbon atoms of six-membered aromatic rings of a carbon skeleton containing carboxyl groups bound to the carbon skeleton
    • C07C309/58Carboxylic acid groups or esters thereof
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D213/00Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members
    • C07D213/02Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members having three double bonds between ring members or between ring members and non-ring members
    • C07D213/04Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members having three double bonds between ring members or between ring members and non-ring members having no bond between the ring nitrogen atom and a non-ring member or having only hydrogen or carbon atoms directly attached to the ring nitrogen atom
    • C07D213/60Heterocyclic compounds containing six-membered rings, not condensed with other rings, with one nitrogen atom as the only ring hetero atom and three or more double bonds between ring members or between ring members and non-ring members having three double bonds between ring members or between ring members and non-ring members having no bond between the ring nitrogen atom and a non-ring member or having only hydrogen or carbon atoms directly attached to the ring nitrogen atom with hetero atoms or with carbon atoms having three bonds to hetero atoms with at the most one bond to halogen, e.g. ester or nitrile radicals, directly attached to ring carbon atoms
    • C07D213/61Halogen atoms or nitro radicals
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D239/00Heterocyclic compounds containing 1,3-diazine or hydrogenated 1,3-diazine rings
    • C07D239/02Heterocyclic compounds containing 1,3-diazine or hydrogenated 1,3-diazine rings not condensed with other rings
    • C07D239/24Heterocyclic compounds containing 1,3-diazine or hydrogenated 1,3-diazine rings not condensed with other rings having three or more double bonds between ring members or between ring members and non-ring members
    • C07D239/26Heterocyclic compounds containing 1,3-diazine or hydrogenated 1,3-diazine rings not condensed with other rings having three or more double bonds between ring members or between ring members and non-ring members with only hydrogen atoms, hydrocarbon or substituted hydrocarbon radicals, directly attached to ring carbon atoms
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0048Photosensitive materials characterised by the solvents or agents facilitating spreading, e.g. tensio-active agents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2603/00Systems containing at least three condensed rings
    • C07C2603/56Ring systems containing bridged rings
    • C07C2603/58Ring systems containing bridged rings containing three rings
    • C07C2603/70Ring systems containing bridged rings containing three rings containing only six-membered rings
    • C07C2603/74Adamantanes

Landscapes

  • Organic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Inorganic Chemistry (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Pyridine Compounds (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

하기 일반 식 (I)의 이온성 열산 발생제가 제공된다:
Figure 112016109292239-pat00072

식 중: Ar1은 임의로 치환된 카보사이클릭 또는 헤테로사이클릭 방향족 기를 나타내고; W는 독립적으로 카복실, 하이드록시, 니트로, 시아노, C1-5 알콕시 및 포르밀로부터 선택된 기를 나타내고; X는 양이온이고; Y는 독립적으로 연결기를 나타내고; Z는 독립적으로 하이드록실, 플루오르화된 알코올, 에스테르, 임의로 치환된 알킬, C5 이상의 임의로 치환된 모노사이클릭, 폴리사이클릭, 융합된 폴리사이클릭 지환족, 또는 아릴로부터 선택된 기를 나타내고, 이것들은 헤테로원자를 임의로 함유할 수 있고, 단, 적어도 하나의 경우의 Z는 하이드록실기이고; a는 0 이상의 정수이고; b는 1 이상의 정수이고; 단, a + b는 적어도 1이고 방향족 기의 이용가능한 방향족 탄소 원자의 총 수 이하이다. 포토레지스트 패턴 트리밍 조성물 및 트리밍 조성물을 사용하여 포토레지스트 패턴을 트리밍하는 방법이 또한 제공된다. 상기 열산 발생제, 조성물 및 방법은 반도체 소자의 제조에서 특정한 적용가능성을 발견한다.

Description

열산 발생제 및 포토레지스트 패턴 트리밍 조성물 및 방법{THERMAL ACID GENERATORS AND PHOTORESIST PATTERN TRIMMING COMPOSITIONS AND METHODS}
본 발명은 일반적으로 전자 장치의 제조에 관한 것이다. 보다 상세하게는, 본 발명은 미세 리소그래피 패턴의 형성에 유용한 포토레지스트 패턴을 트리밍하기 위한 조성물 및 방법에 관한 것이다.
반도체 제조 산업에 있어서, 포토레지스트 물질은 반도체 기판 상에 배치된 하나 이상의 하지층, 예컨대 반도체 및 유전체 층뿐 아니라 기판 자체에 이미지를 전사하기 위해 사용된다. 포토레지스트 물질은 추가로 예를 들면 반도체 제조에 있어서 이온 주입 마스크의 형성에 있어서의 용도가 발견된다. 반도체 소자의 집적 밀도을 증가시키고, 나노미터 범위의 치수를 갖는 구조의 형성을 가능하게 하기 위해, 고해상 능력을 갖는 포토레지스트 및 포토리소그래피 가공 장비가 개발되고 개발이 지속되고 있다.
포지티브-톤으로 화학적으로 증폭된 포토레지스트는 고해상 공정을 위해 종래에 사용되고 있다. 이러한 레지스트는 통상적으로 산-분해성 이탈기를 갖는 수지 및 광산 발생제를 이용한다. 포토마스크를 통한 활성 방사선에의 패턴화 방식으로의 노출은 산 발생제가 노광 후 베이킹 과정에서 산을 형성하는 것을 야기하고, 이는 수지의 노출된 영역에서 산-분해성 기의 분리를 야기한다. 이는 알칼리성 현상 수용액에서의 노출되고 그리고 미노출된 영역들 사이에서의 용해도 특성의 차이를 야기한다. 포지티브 톤 현상 (PTD) 공정에서, 레지스트의 노출된 영역은 알칼리성 수성 현상액에서 가용성이고, 기판 표면으로부터 제거되고, 반면, 현상액에서 불용성인 미노출된 영역은 현상 이후 잔류되어 포지티브 이미지를 형성한다.
리소그래피 스케일링(lithographic scaling)은 화학적으로 증폭된 포토레지스트를 사용하여 광학 노출 장비의 개구수 및 더 짧은 파장, 예를 들면, 200 nm 이하, 예를 들면, 193 nm 또는 EUV 파장 (예를 들면, 13.5 nm)의 사용을 증가시킴으로써 달성되었다. 리소그래피 성능을 추가로 개선하기 위해, 액침 리소그래피 장비가 이미징 장치, 예를 들면, KrF 또는 ArF 광원을 갖는 스캐너의 렌즈의 개구수(NA)를 효과적으로 증가시키기 위해 개발되고 있다. 이는 이미징 장치의 최종 표면 및 반도체 소자의 상부 표면 사이의 상대적으로 높은 굴절률 유체 (즉, 침액)를 사용하여 달성되었다. 침액은 공기 또는 불활성 가스 매체를 사용하여 발생될 수 있는 것보다 레지스트 층으로 초점이 맞추어지는 광의 양이 더 많아지게 한다. 침액으로서 물을 사용하는 경우, 최대 개구수는 예를 들면 1.2 내지 1.35로 증가된다. 개구수에서의 이와 같은 증가로 단일 노출 공정에서의 40 nm 하프-피치 해상도(half-pitch resolution)를 달성하고 이에 따라 개선된 디자인 축소(design shrink)를 가능하게 할 수 있다. 그러나,이러한 표준 액침 리소그래피 공정은 일반적으로 더 큰 해상도를 요구하는 소자의 제조에 적합하지 않다.
현재는, 본 산업은 개구수에서의 증가 또는 노출 파장에서의 감소가 실행가능하지 않은 지점에 도달되었다. 그 결과, 집적 회로 리소그래피를 스케일링하는 대안적인 방법이 연구되고 있다. 물질 및 공정 관점 모두로부터의 표준 포토리소그패피 기술을 달성되는 실현가능한 해상도 이상으로 확장하기 위한 상당한 노력이 이루어지고 있다. 예를 들면, 다중 (즉, 이중 또는 그 이상) 패턴화 공정이 종래의 리소그래피 공정의 더 낮은 해상도 제한 이상으로 피치 및 CD를 프린팅하기 위해 제안되었다. 하나의 이러한 이중 패턴화 공정은 리소-리소-에칭(LLE) 이중 패턴화이고, 이는 제1 리소그래피 포토레지스트 패턴의 형성 이후 제2 리소그래피 포토레지스트 패턴의 형성을 수반하고, 여기서 제2 패턴의 선은 제1 패턴의 인접한 선들 사이에 배치된다. LLE 이중 패턴화 및 다른 새로운 리소그래피 공정은 대개 직접적인 리소그래피 인쇄에 의해 분리된 피처 예컨대 선 또는 포스트의 형성을 요구한다. 그러나, 허용가능한 공정 윈도우를 사용한 분리된 피처의 형성은 디포커스에서 좋지 않은 에어리얼 이미지 콘트라스트(aerial image contrast)의 결과와 같은 극복과제를 일으킬 수 있다.
직접적인 영상화만에 의해 달성되는 것보다 더 미세한 포토레지스트를 형성하기 위해, 포토레지스트 패턴 트리밍 공정이 제안되었다 (예를 들면, 미국특허출원 공개번호 US2013/0171574A1, US2013/0171825A1, US2014/0186772A1 및 US2015/0202414A1). 포토레지스트 트리밍 공정은 전형적으로 산 분해성 기를 갖는 중합체를 포함하는 포토레지스트 패턴을 산 또는 산 발생제를 함유하는 조성물과 접촉시키는 단계를 수반한다. 산 또는 발생된 산은 레지스트 패턴의 표면 영역에서 탈보호를 야기하고, 이 영역은 이후 예를 들면 현상 용액과의 접촉에 의해 제거된다. 수득한 레지스트 패턴의 피처는 따라서 최초 레지스트 패턴과 비교하여 크기에 있어서 감소된다.
포토레지스트 트리밍 공정은 트리밍 공정 이후의 보다 조밀하게 패킹된 레지스트 피처의 치수와 비교하여 분리된 레지스트 피처의 치수에 있어서의 차이에 의해 등밀도 바이어스가 문제될 수 있다. 레지스트 패턴 및 차후의 에칭된 피처의 차이가 나는 치수의 결과로서, 생성된 소자의 전기적 특성과 같은 물성에 악영향을 줄 수 있다. 등밀도 바이어스는 예를 들면, 소자의 성능에 부정적인 영향을 줄 수 있는 전도도의 바이모달 분포를 야기할 수 있다. 임의의 특정 이론에 구속됨을 의도하지 않으며, 본 발명자들은 상기 문제점이 보다 조밀하게 패킹된 레지스트 패턴 영역과 비교하여 레지스트 패턴의 탈보호에 대해 이용가능한 분리된 레지스트 패턴 영역에 있어서의 산의 증가된 존재의 결과인 것으로 믿고 있다. 이와 같이 분리된 레지스트 패턴의 증가된 탈보호는 분리된 패턴 표면을 통과하는 더 많은 양의 산으로 인해 발생될 수 있다. 등밀도 바이어스는 현존하는 포토마스크가 광학 근접 보정 (OPC)에 대한 필요성 없이도 마스크 상에서 분리된 조밀한 패턴을 인쇄하기 위해 사용될 수 있는지 여부에 대한 징표를 제공한다. OPC가 요구되는 경우, 새로운 포토마스크가 통상적으로 요구된다. 포스트-트림 등밀도 바이어스(post-trim iso-dense bias)의 발생은 감소시키거나 회피하는 것이 바람직할 것이다.
본 기술분야의 현재 상태와 관련된 하나 이상의 문제점을 다루는 전자 소자의 제작에 유용한 열산 발생제, 트리밍 조성물 및 트리밍 방법에 대한 본 기술분야에서의 필요성이 존재한다.
발명의 요약
본 발명의 제1 측면에 따르면, 이온성 열산 발생제가 제공된다. 상기 이온성 열산 발생제은 하기 일반 식 (I)으로 표시된다:
Figure 112016102370497-pat00001
식 중: Ar1은 임의로 치환된 카보사이클릭 또는 헤테로사이클릭 방향족 그룹을 나타내고; W는 독립적으로 카복실, 하이드록시, 니트로, 시아노, C1-5 알콕시 및 포르밀로부터 선택된 그룹을 나타내고; X는 양이온이고; Y 독립적으로 연결 기를 나타내고; Z는 독립적으로 하이드록실, 플루오르화된 알코올, 에스테르, 임의로 치환된 알킬, C5 또는 고급 임의로 치환된 모노사이클릭, 폴리사이클릭, 융합된 폴리사이클릭 지환족, 또는 아릴로부터 선택된 그룹을 나타내고, 이것들은 헤테로원자를 임의로 함유할 수 있고, 단, 적어도 하나의 경우의 Z는 하이드록실 그룹이고; a는 0 이상의 정수이고; b는 1 이상의 정수이고; 단, a + b는 적어도 1이고 방향족 그룹의 이용가능한 방향족 탄소 원자의 총 수 이하이다.
본 발명의 추가 측면에 따르면, 포토레지스트 패턴 트리밍 조성물이 제공된다. 상기 조성물은 하기를 포함한다: 매트릭스 폴리머, 본원에 기재된 바와 같은 이온성 열산 발생제 및 용매.
본 발명의 추가의 양태에 따라, 포토레지스트 패턴의 트리밍 방법이 제공된다. 본 방법은 하기를 포함한다: (a) 반도체 기판을 제공하는 단계; (b) 기판 상에 포토레지스트 패턴을 형성하는 단계로서, 여기서 상기 포토레지스트 패턴은 산 분해성 기를 포함하는 매트릭스 중합체; 광산 발생제; 및 용매를 포함하는 포토레지스트 조성물로부터 형성되는 단계; (c) 매트릭스 폴리머, 본원에 기재된 바와 같은 이온성 열산 발생제 및 용매를 포함하는 포토레지스트 트리밍 조성물을, 상기 포토레지스트 패턴 위의 기판 상에 코팅하는 단계; (d) 상기 코팅된 기판을 가열하여 이에 의해 포토레지스트 패턴의 표면 영역 내의 포토레지스트 매트릭스 중합체의 극성에 있어서의 변화를 야기하는 단계; 그리고 (e) 포토레지스트 패턴을 세정제와 접촉시켜 포토레지스트 패턴의 표면 영역을 제거하여 이에 의해 트리밍된 포토레지스트 패턴을 형성하는 단계.
본 발명의 이온성 열산 발생제, 포토레지스트 패턴 트리밍 조성물 및 포토레지스트 패턴 방법은 조정 가능하게 감소된 레지스트 패턴 치수를 갖는 미세 리소그래피 패턴을 생성할 수 있다. 본 발명의 바람직한 조성물 및 방법은 유리한 선폭 조도 특성을 갖는 패턴의 형성을 가능하게 하고/하거나 분리된 패턴, 예를 들면, 바람직한 등밀도 바이어스 특성과 함께 분리된 선 또는 포스트의 형성을 가능하게 한다.
본원에 사용되는 기술은 단지 특정 구현예를 기술하기 위한 것이며, 본 발명을 제한하는 것으로 의도되지 않는다. 단수 형태("a", "an" 및 "the")는 맥락에서 다르게 나타내지 않는 한, 단수 및 복수 형태를 포함하는 것으로 의도된다.
"임의로 치환되는" 다양한 물질 및 기는 하나 이상의 이용가능한 위치에서 적합하게 치환될 수 있다. 다르게 명시되는 것을 제외하고, "치환됨"은 적어도 하나의 치환체 예컨대 할로겐 (즉, F, Cl, Br, I), 하이드록실, 아미노, 티올, 카복실, 카복실레이트, 에스테르, 에테르, 아미드, 니트릴, 설파이드, 디설파이드, 니트로, C1 -18 알킬, C1 -18 알케닐 (노르보르네닐 포함), C1 -18 알콕실, C2 -18 알케녹실 (비닐 에테르 포함), C4 -18 아릴, C6 -18 아릴옥실, C7 -18 알킬아릴, 또는 C7 -18 알킬아릴옥실, 임의로 하나 이상의 헤테로원자를 포함하는 것을 의미하는 것으로 이해될 것이다.
"플루오르화됨"은 기로 혼입되는 하나 이상의 불소 원자를 갖는 것으로 이해될 것이다.
본원에서 사용된 바와 같이, 용어 "알킬"은 선형 알킬, 분지형 알킬, 환식 (단환식 또는 다환식) 알킬, 및 알킬기를 포함하고, 이는 선형, 분지형, 및 환식 기의 2가지-방식 및 3가지-방식의 조합으로 조합된다.
본 발명은 하기 도면을 참조하여 기재될 것이고, 이는 유사한 참조 부호는 유사한 특징을 의미하며, 이에서
도 1a 내지 h는 본 발명에 따라 포토리소그래피 패턴을 형성하는 공정 흐름을 예시한다.
열산 발생제 포토레지스트 패턴 트리밍 조성물
포토레지스트 패턴 트리밍 조성물은 매트릭스 중합체, 열산 발생제, 및 용매를 포함하고, 이는 하나 이상의 선택적인 추가의 성분을 포함할 수 있다. 포토레지스트 패턴 상에 코팅되는 경우, 본 발명에 따른 포토레지스트 트리밍 조성물은 조절가능하게 감소된 레지스트 패턴 치수를 갖는 미세 리소그래피 패턴을 제공할 수 있다. 본 발명의 바람직한 포토레지스트 조성물은 유리한 선폭 조도 (LWR) 및/또는 등밀도 바이어스 특성을 제공할 수 있다.
매트릭스 중합체는 조성물이 원하는 두께를 갖는 층의 형성시 포토레지스트 패턴 상에 코팅될 수 있게 한다. 이는 포토레지스트 패턴 표면을 갖는 상호작용을 위한 발생된 산의 충분한 함량이 존재를 보장하는 것을 보조할 수 있다. 매트릭스 중합체는 트리밍 공정에 사용되는 세정 용액에서의 양호한 가용성을 가질 수 있게 할 것이다. 예를 들면, 매트릭스 중합체는 수성 알칼리성 현상액, 바람직하게는 수성 4차 수산화암모늄 용액 예컨대 수성 테트라메틸수산화암모늄 중에서 또는 수중에서 가용성일 수 있다. 오버코트 물질로부터 유래된 잔류 결함을 최소화하기 위해, 트리밍 조성물의 건조된 층의 용해 속도는 현상액에 의해 제거되는 포토레지스트 패턴 표면 영역의 것보다 더 높아야 한다. 매트릭스 중합체는 전형적으로 100 Å/초 이상, 바람직하게는 1000 Å/초 이상의 현상액 용해 속도를 나타낸다. 매트릭스 중합체는 본원에 기재된 트리밍 조성물의 용매에서 가용성이다. 매트릭스 중합체는 예를 들면 폴리비닐 알코올, 폴리아크릴산, 폴리비닐 비닐피롤리돈, 폴리비닐 아민, 폴리비닐 아세탈, 폴리(메트)아크릴레이트 및 이들의 조합으로부터 선택될 수 있다. 바람직하게는, 중합체는 -OH, -COOH, -SO3H, SiOH, 하이드록실 스티렌, 하이드록실 나프탈렌, 설폰아미드, 헥사플루오로이소프로필 알코올, 무수물, 락톤, 에스테르, 에테르, 알릴아민, 비닐피롤리돈 및 이들의 조합으로부터 선택되는 하나 이상의 작용기를 함유한다.
조성물에서의 매트릭스 중합체의 함량은 예를 들면 층의 표적 두께에 좌우될 것이고, 더 높은 중합체 함량이 더 두꺼운 층에 대해 사용된다. 매트릭스 중합체는 전형적으로 트리밍 조성물의 총 고형물 기준으로 80 내지 99 wt%, 더욱 전형적으로 90 내지 98 wt%의 양으로 조성물에 존재한다. 중합체의 중량 평균 분자량 (Mw)은 전형적으로 400,000 미만, 바람직하게는 3000 내지 50,000, 더 바람직하게는 3000 내지 25,000이다.
오버코트 조성물에 유용한 중합체는 단독중합체 또는 복수개의 별개의 반복 단위, 예를 들면, 2, 3, 4개 이상의 별개의 반복 단위를 갖는 공중합체일 수 있다. 트리밍 조성물은 전형적으로 단일 중합체를 포함하나, 임의로 하나 이상의 추가의 중합체를 포함할 수 있다. 오버코트 조성물에 사용하기 위한 적합한 중합체 및 단량체는 상업적으로 이용가능하고/하거나 이는 본 기술분야의 당업자에 의해 용이하게 제조될 수 있다.
트리밍 조성물은 추가로 이온성 열산 발생제 (TAG)를 포함한다. 이의 활성 온도에서 또는 그 이상에서 가열되는 경우, 열산 발생제는 포토레지스트 패턴 트리밍 공정을 유도하는 대응되는 컨쥬게이트 방향족 설폰산을 발생시킨다. 본 발명자들은 트리밍 조성물에서의 열산 발생제 이외 유리산의 사용은 산과의 조기 반응으로부터 매트릭스 중합체 내에서의 화학적 변화로 인해 조성물의 감소된 저장 수명 안정성을 야기할 수 있다는 것을 인식하였다.
본 발명의 열산 발생제에 대한 통상적인 TGA 반응식은 하기와 같이 나타난다:
Figure 112016102370497-pat00002
.
탈보호 반응에 기초한 포토레지스트로부터 형성된 포토레지스트 패턴의 경우, 발생된 산은 산 분해성 기 (보호된기), 예컨대 산 분해성 에스테르기 또는 아세탈기의 결합을 분해하여 포토레지스트 패턴의 표면에서 레지스트 중합체에서의 산기의 형성 및 탈보호를 야기할 수 있다.
리소그래피 특성 예컨대 트림 양을 조정하고, LWR을 감소시키고 그리고/또는 등밀도 바이어스 특성을 개선하기 위한 목적을 위해, 느리게 확산되는 설폰산을 발생시키는 이온성 열산 발생제를 사용하는 것이 바람직하다. 벌키성 음이온의 사용이 발생된 산을 느리게 확산되게 하는데 바람직하다. 벌키성 기로 치환된 방향족 설폰산이 바람직하다. 적합한 벌키성 기는, 예를 들면, 하나 이상의 분지형, 단환식 또는 다환식의 임의로 치환된 알킬, 바람직하게는 임의로 치환된 아다만틸, 임의로 치환된 아릴, 임의로 치환된 아르알킬 및 플루오르화된 또는 비-플루오르화된 알코올을 포함한다. 발생된 방향족 설폰산은 바람직하게는 임의로 치환된 페닐, 바이페닐, 나프틸, 안트라세닐, 티오펜 또는 푸란기, 또는 이들의 조합을 포함하는 설폰산이다. 더 큰 TAG 음이온 몰 용적이 전형적으로 레지스트 패턴으로의 감소된 산 확산을 위해 바람직하다. TAG 음이온의 몰 용적은 바람직하게는 100 내지 1000 cm3, 300 내지 800 cm3 또는 400 내지 600 cm3이다. 음이온은 전형적으로 200 내지 2000, 더욱 전형적으로 500 내지 1000의 중량 평균 분자량 Mw을 갖는다.
TAG 음이온 상의 하나 이상의 하이드록실기의 존재는 중합체와 더 효과적으로 결합할 수 있는 증가된 극성 작용성으로 인해 추가적으로 발생된 산의 느린 확산성에 기여할 수 있다. 하이드록실기는 비-플루오르화된 또는 플루오르화된 알코올기의 일부일 수 있다. 플루오르화된 알코올기는 부분적으로 플루오르화되거나 또는 완전하게 플루오르화될 수 있고, 즉 퍼플루오르화될 수 있다. 바람직한 플루오르화된 알코올기는 불소 원자 및/또는 펜던트 플루오르화된 기 예컨대 알코올 하이드록실의 알파 위치에서 탄소에 결합되는 부분적으로 또는 완전하게 플루오르화된 알킬, 전형적으로 메틸, 에틸 또는 프로필을 포함한다. 화학식 -C(CF3)2OH의 플루오로알코올기가 특히 바람직하다. 하이드록실기는 연결기를 통해 TAG 음이온의 방향족 고리에 결합되는 것이 바람직하다. 이러한 방식으로의 연결기의 사용은 TAG 음이온 및 짝산의 벌키성(bulkiness)에 추가로 기여할 수 있다. 적합한 연결기는 예를 들면, 황, 임의로 치환된 아미노기, 아미드, 에테르, 카보닐 에스테르, 설포닐 에스테르, 설폰, 설폰아미드 및 2가 탄화수소기, 예를 들면, C1-20 선형, 분지형 또는 환식의 임의로 치환된 탄화수소기, 및 이들의 조합을 포함한다. 방향족 설폰산의 벌키성을 증가시키기 위해, 방향족 산은 복수의 알코올기 및/또는 하나 이상의 다른 유형의 기, 예를 들면, 카복실, 니트로, 시아노, C1-5 알콕시, 포르밀, 에스테르, 임의로 치환된 알킬, C5 이상의 단환식, 다환식, 융합된 다환식 지환족, 또는 아릴을 포함하고, 이는 임의로 헤테로원자를 포함하는 것이 바람직하다. 바람직하게는, TAG 음이온은 복수의 플루오르화된 또는 비-플루오르화된 알코올기를 가지고, 더 바람직하게는, TAG 음이온은 각 연결기를 통해 방향족 고리에 결합되는 복수개의 플루오르화된 또는 비-플루오르화된 알코올기를 가진다.
열산 발생제는 하기 화학식 (I)으로 나타낸다:
<화학식 I>
Figure 112016102370497-pat00003
식 중, Ar1은 임의로 치환된 카보사이클릭 또는 헤테로사이클릭 방향족기를 나타낸다. Ar1은, 예를 들면, 단일 방향족 고리 예컨대 페닐 또는 피리딜; 또 다른 방향족기로 치환된 방향족 고리 예컨대 바이페닐; 융합된 방향족 고리 예컨대 나프틸, 안트라세닐, 피레닐 또는 퀴놀리닐; 또는 방향족 및 비-방향족 고리 모두를 갖는 융합 고리계 예컨대 1,2,3,4-테트라하이드로나프탈렌, 9,10-디하이드로안트라센, 또는 플루오렌을 포함할 수 있다. 임의로, 방향족기가 치환될 수 있다. 방향족기는, 예를 들면, 하나 이상의 치환기 예컨대 C1-30 알킬, C2-30 알케닐, C7-30 아르알킬, C6-30 아릴, -OR1, -C1-30 알킬렌-OR1, 및 -C1-30 알킬리덴-OR1로 대체되는 하나 이상의 이의 수소 원자를 가질 수 있고, 여기서 R1은 H, C1-30 알킬, C2-30 알케닐, 및 C6-30 아릴로부터 선택된다. 매우 다양한 방향족기가 Ar1에 대해 사용될 수 있고, 이는 비치환되거나 치환될 수 있다. 이러한 비치환된 방향족기는 5 내지 40개의 탄소, 바람직하게는 6 내지 35 탄소, 더 바람직하게는 6 내지 30개의 탄소를 가질 수 있다. 적합한 방향족기는, 비제한적으로, 페닐, 바이페닐, 나프탈레닐, 안트라세닐, 펜안트레닐, 피레닐, 테트라세닐, 트리페닐에닐, 테트라페닐, 벤조[f]테트라페닐, 벤조[m]테트라페닐, 벤조[k]테트라페닐, 펜타세닐, 페릴레닐, 벤조[a]피레닐, 벤조[e]피레닐, 벤조[ghi]페릴레닐, 코로네닐, 퀴놀로닐, 7,8-벤조퀴놀리닐, 플루오레닐, 및 12H-디벤조[b,h]플루오레닐을 포함하고, 이들 각각은 비치환되거나 치환되고; W는 독립적으로 카복실, 하이드록시, 니트로, 시아노, C1-5 알콕시 및 포르밀로부터 선택된 기를 나타내고; X는 하기에 기재된 바와 같은 양이온이고; Y는 독립적으로 예를 들면 황, 임의로 치환된 아미노기, 아미드, 에테르, 카보닐 에스테르, 설포닐 에스테르, 설폰, 설폰아미드 및 2가 탄화수소기, 예를 들면, C1-20 직쇄, 분지형 또는 환식의 임의로 치환된 탄화수소기, 및 이들의 조합으로부터 선택되는 연결기를 나타내고; Z는 독립적으로 하이드록실, 플루오르화된 알코올, 에스테르, 임의로 치환된 알킬, C5 이상의 임의로 치환된 단환식, 다환식, 융합된 다환식 지환족, 또는 아릴로부터 선택된 기를 나타내고, 이는 임의로 헤테로원자를 포함할 수 있고, 단 하나 이상의 경우의 Z는 하이드록실기이고; a는 0 이상, 통상적으로 0 내지 2의 정수이고; b는 1 이상, 통상적으로 1 또는 2의 정수이고; 단 a + b가 적어도 1이고, 방향족기의 이용가능한 방향족 탄소 원자의 총수보다 크지 않고, 2 내지 5의 a + b가 통상적이고, 보다 통상적으로는 2 또는 3이다.
적합한 예시적인 열산 발생제 음이온은 비제한적으로 하기를 포함한다:
Figure 112016102370497-pat00004
Figure 112016102370497-pat00005
Figure 112016102370497-pat00006
Figure 112016102370497-pat00007
Figure 112016102370497-pat00008
열산 발생제 양이온은 바람직하게는 유기 양이온이다. 바람직하게는, 양이온은 하기 화학식 (I)의 질소-함유 양이온이고:
<화학식 I>
(BH)+
이는 단일양성자화된 형태의 질소-함유 염기 B이다. 적합한 질소-함유 염기 B는, 예를 들면, 임의로 치환된 아민 예컨대 암모니아, 디플루오로메틸암모니아, C1-20 알킬 아민, 및 C3-30 아릴 아민, 예를 들면, 질소-함유 헤테로방향족 염기 예컨대 피리딘 또는 치환된 피리딘 (예를 들면, 3-플루오로피리딘), 피리미딘 및 피라진; 질소-함유 헤테로사이클릭기, 예를 들면, 옥사졸, 옥사졸린, 또는 티아졸린을 포함한다. 상기 질소-함유 염기 B는 예를 들면 알킬, 아릴, 할로겐 원자 (바람직하게는 불소), 시아노, 니트로 및 알콕시로부터 선택된 하나 이상의 기로 임의로 치환될 수 있다. 이들 중, 염기 B는 바람직하게는 헤테로방향족 염기이다.
염기 B는 전형적으로 0 내지 5.0, 또는 0 내지 4.0, 또는 0 내지 3.0, 또는 1.0 내지 3.0의 pKa를 가진다. 본원에서 사용되는 바와 같은 용어 "pKa"는 이의 본 기술분야에 인식된 의미에 따라 사용되고, 즉, pKa는 약 실온에서 수용액 중의 염기성 모이어티 (B)의 짝산 (BH)+의 해리 상수의 (베이스 10에 대한) 음성 대수이다. 특정 구현예에서, 염기 B는 약 170℃ 미만, 또는 약 160℃, 150℃, 140℃, 130℃, 120℃, 110℃, 100℃ 또는 90℃ 미만의 비점을 가진다.
예시적인 적합한 질소-함유 양이온 (BH)+은 NH4 +, CF2HNH2 +, CF3CH2NH3 +, (CH3)3NH+, (C2H5)3NH+, (CH3)2(C2H5)NH+ 및 하기의 것을 포함한다:
Figure 112016102370497-pat00009
Figure 112016102370497-pat00010
식 중, Y는 알킬, 바람직하게는, 메틸 또는 에틸이다.
다른 적합한 양이온은 오늄 양이온을 포함한다. 적합한 오늄 양이온은, 예를 들면, 설포늄 및 아이오도늄 양이온, 예를 들면, 하기 화학식 (II)의 것을 포함한다:
<화학식 II>
Figure 112016102370497-pat00011
식 중, X는 S 또는 I이고, 여기서 X가 I인 경우 a는 2이고, X가 S인 경우 a는 3이고; R3는 독립적으로 유기기 예컨대 임의로 치환된 C1 -30 알킬, 다환식 또는 단환식 C3 -30 사이클로알킬, 다환식 또는 단환식 C6 -30 아릴, 또는 이들의 조합으로부터 선택되고, 여기서 X가 S인 경우 2개의 R3 기는 함께 임의로 고리를 형성한다.
예시적인 적합한 설포늄 및 아이오도늄 양이온은 하기를 포함한다:
Figure 112016102370497-pat00012
Figure 112016102370497-pat00013
본 발명에 따른 적합한 TAG는 기재된 양이온과의 기재된 음이온의 임의의 조합을 포함한다. 예시적인 적합한 TAG는 비제한적으로 하기를 포함한다:
Figure 112016102370497-pat00014
Figure 112016102370497-pat00015
TAG는 전형적으로 300 내지 2500, 더욱 전형적으로 500 내지 1500의 중량 평균 분자량 Mw를 가진다. 열산 발생제는 전형적으로 100℃ 이상, 예컨대 110 내지 100℃, 예를 들면, 80 내지 90℃ 또는 70 내지 80℃의 베이킹 온도에서 활성화될 수 있다. 열산 발생제는 전형적으로 트리밍 조성물의 총 고형물 기준으로 0.01 내지 20 wt%, 더욱 전형적으로 0.1 내지 10 wt% 또는 1 내지 5 wt%의 양으로 조성물에 존재한다.
본 발명에 따른 적합한 열산 발생제는 공지된 기술 및 상업적으로 이용가능한 출발 물질을 사용하여 본 기술분야의 당업자에 의해 제조될 수 있다. 예를 들면, 바람직한 열산 발생제는 전형적으로 수시간 동안 용매 중에서 아민과의 유리 산의 용액을 교반함으로써 제조될 수 있다. 설포늄 또는 아이오도늄 양이온을 갖는 TAG는 전형적으로 수시간 동안 용매 중에서 방향족 설포네이트 음이온의 염과 설포늄 또는 아이오도늄 양이온의 염을 혼합함으로써 생성할 수 있다.
트리밍 조성물은 추가로 용매 또는 용매 혼합물을 포함한다. 트리밍 조성물을 제제화하고 캐스팅하기 위한 적합한 용매 물질은 트리밍 조성물의 비-용매 성분에 대한 매우 양호한 용해도 특성을 나타내나, 혼화되는 것을 최소화하도록 기저 포토레지스트 패턴을 현저하게 용해시키지 않는다. 용매는 전형적으로 물, 유기 용매 및 이들의 조합으로부터 선택된다. 트리밍 조성물에 대한 적합한 유기 용매는 예를 들면, 알킬 에스테르 예컨대 알킬 프로피오네이트 예컨대 n-부틸 프로피오네이트, n-펜틸 프로피오네이트, n-헥실 프로피오네이트 및 n-헵틸 프로피오네이트, 및 알킬 부티레이트 예컨대 n-부틸 부티레이트, 이소부틸 부티레이트 및 이소부틸 이소부티레이트; 케톤 예컨대 2,5-디메틸-4-헥사논 및 2,6-디메틸-4-헵타논; 지방족 탄화수소 예컨대 n-헵탄, n-노난, n-옥탄, n-데칸, 2-메틸헵탄, 3-메틸헵탄, 3,3-디메틸헥산 및 2,3,4-트리메틸펜탄, 및 플루오르화된 지방족 탄화수소 예컨대 퍼플루오로헵탄; 알코올 예컨대 직쇄형, 분지형 또는 환식 C4-C9 1가 알코올 예컨대 1-부탄올, 2-부탄올, 이소부틸 알코올, tert-부틸 알코올, 3-메틸-1-부탄올, 1-펜타놀, 2-펜타놀, 4-메틸-2-펜타놀, 1-헥산올, 1-헵타놀, 1-옥탄올, 2-헥산올, 2-헵타놀, 2-옥탄올, 3-헥산올, 3-헵타놀, 3-옥탄올 및 4-옥탄올; 2,2,3,3,4,4-헥사플루오로-1-부탄올, 2,2,3,3,4,4,5,5-옥타플루오로-1-펜타놀 및 2,2,3,3,4,4,5,5,6,6-데카플루오로-1-헥산올, 및 C5-C9 플루오르화된 디올 예컨대 2,2,3,3,4,4-헥사플루오로-1,5-펜탄디올, 2,2,3,3,4,4,5,5-옥타플루오로-1,6-헥산디올 및 2,2,3,3,4,4,5,5,6,6,7,7-도데카플루오로-1,8-옥탄디올; 에테르 예컨대 이소펜틸 에테르 및 디프로필렌 글리콜 모노메틸 에테르; 및 하나 이상의 이들 용매를 함유하는 혼합물을 포함한다. 이들 유기 용매 중에서, 알코올, 지방족 탄화수소 및 에테르가 바람직하다. 트리밍 조성물의 용매 성분은 전형적으로 트리밍 조성물 기준으로 90 내지 99 wt%, 더 바람직하게는 95 내지 99 wt%의 양으로 존재한다.
트리밍 조성물은 선택적인 첨가제를 포함할 수 있다. 예를 들면, 트리밍 조성물은 레지스트 패턴의 표면 영역과 반응하는 추가의 성분을 포함할 수 있고, 이는 표면 영역이 유기 용매 세정제에서 가용성이도록 한다. 이러한 추가의 성분은 바람직하게는 -OH, 아민, -SH, 케톤, 알데하이드, -SiX로부터 선택되는 작용기를 함유하고, 여기서 X는 할로겐, 비닐 에테르 및 이들의 조합이다. 임의의 특정 이론에 구속됨을 의도하지 않고, 성분들은 레지스트 패턴으로 확산되고, 패턴의 카복실산기와 반응하는 것으로 여겨진다. 이러한 반응은 표면의 극성 변화를 초래하고, 이는 표면이 유기 용매에서 가용성이 되게 한다. 이러한 성분은 예를 들면 포토레지스트 패턴이 네가티브 톤 현상 (NTD)에 의해 형성되며, 여기서 상기 패턴은 산 분해성 기를 포함하는 포토레지스트의 노출된 부분으로 구성되는 경우에 유용할 수 있다. 이러한 성분은 사용되는 경우 전형적으로 트리밍 조성물의 총 고형물 기준으로 0.1 내지 10 wt%의 양으로 존재한다.
트리밍 조성물은 추가로 계면활성제를 포함할 수 있다. 전형적인 계면활성제는 양친매성 특성을 나타내는 것들을 포함하고, 이는 이들이 동시에 친수성 및 소수성 둘 모두일 수 있는 것을 의미한다. 양친매성 계면활성제는 친수성 헤드 기(hydrophilic head group) 또는 기들을 가지고, 이는 강한 친수성 및 친유성이고 물에 반발하는 긴 소수성 테일을 가진다. 적합한 계면활성제는 이온성 (즉, 음이온성, 양이온성) 또는 비이온성일 수 있다. 계면활성제의 추가의 예는 실리콘 계면활성제, 폴리(알킬렌 옥사이드) 계면활성제, 및 플루오로화합물 계면활성제를 포함한다. 적합한 비-이온성 계면활성제는, 비제한적으로, 옥틸 및 노닐 페놀 에톡실레이트 예컨대 TRITON® X-114, X-100, X-45, X-15 및 분지형 2차 알코올 에톡실레이트 예컨대 TERGITOL™ TMN-6 (Dow Chemical Company 사제, Midland, Michigan USA)를 포함한다. 다른 추가의 예시적인 계면활성제는 알코올 (1차 및 2차) 에톡실레이트, 아민 에톡실레이트, 글루코사이드, 글루카민, 폴리에틸렌 글리콜, 폴리(에틸렌 글리콜-코-프로필렌 글리콜), 또는 문헌[McCutcheon's Emulsifiers and Detergents, North American Edition for the Year 2000 published by Manufacturers Confectioners Publishing Co. of Glen Rock, N.J]에 개시되어 있는 다른 계면활성제를 포함한다. 아세틸렌성 디올 유도체인 비이온성 계면활성제가 또한 적합할 수 있다. 이러한 계면활성제는 Allentown, PA의 Air Products and Chemicals, Inc.로부터 상업적으로 이용가능하고, SURFYNOL® 및 DYNOL®의 상표명 하에 시판된다. 추가의 적합한 계면활성제는 다른 중합체 화합물 예컨대 트리-블록 EO-PO-EO 코-중합체 PLURONIC® 25R2, L121, L123, L31, L81, L101 및 P123 (BASF, Inc.)를 포함한다. 이러한 계면활성제 및 다른 선택적인 첨가제는 사용되는 경우 전형적으로 소량 예컨대 트리밍 조성물의 총 고형물 기준으로 0.01 내지 10 wt%로 조성물에 존재한다.
트리밍 조성물은 바람직하게는 이러한 물질이 레지스트 패턴의 치수 증가를 야기할 수 있도록 가교결합제를 함유하지 않는다.
트리밍 조성물은 공지된 과정에 따라 제조될 수 있다. 예를 들면, 조성물은 용매 성분에서 조성물의 고체 성분을 용해시킴으로써 제조될 수 있다. 조성물의 원하는 총 고형물 함량은 인자 예컨대 원하는 최종 층 두께에 좌우될 것이다. 바람직하게는, 트리밍 조성물의 고형물 함량은 조성물의 총 중량 기준으로 1 내지 10 wt%, 더 바람직하게는 1 내지 5 wt%이다.
포토레지스트 패턴 트리밍 방법
본 발명에 따른 공정은 이하에서 도 1a-h를 참조하여 기술될 것이고, 이는 본 발명에 따른 포토레지스트 패턴 트리밍 기술을 사용하여 포토리소그래피 패턴을 형성하기 위한 예시적인 공정 흐름을 예시한다. 예시적인 공정 흐름이 포지티브 톤 현상 공정의 것인 한편, 본 발명은 또한 네거티브 톤 현상(NTD) 공정에 대해 적용가능하다. 또한, 예시적인 공정 흐름이 단일 레지스트 마스크가 트리밍된 포토레지스트 패턴을 기저 기판에 전사하기 위해 사용되는 패턴화 공정을 기술하고 있는 한편, 트리밍 방법이 다른 리소그래피 공정, 예를 들면 이중 패턴화 공정 예컨대 리소-리소-에칭 (LLE), 리소-에칭-리소-에칭 (LELE) 또는 이온 주입 마스크와 같은 자가-정렬 이중 패턴화 (SADP), 또는 포토레지스트 패턴의 트리밍이 유리할 수 있는 임의의 다른 리소그래피 공정에서 사용될 수 있는 것은 자명한 것이다.
도 1a는 다양한 층 및 피처를 포함할 수 있는 기판(100)의 단면을 도시한다. 상기 기판은 반도체와 같은 물질, 예컨대 실리콘 또는 반도체 화합물 (예를 들면, III-V 또는 II-VI), 유리, 석영, 세라믹, 구리 등의 것일 수 있다. 전형적으로, 기판은 반도체 웨이퍼, 예컨대 단일 결정 실리콘 또는 반도체 화합물 웨이퍼이고, 이는 하나 이상의 층 및 이의 표면 상에 형성되는 패턴화된 피처를 가질 수 있다. 패턴화되는 하나 이상의 층(102)은 기판(100) 상에 제공될 수 있다. 경우에 따라, 기저 베이스 기판 물질 그 자체는 예를 들면 기판 물질에 트렌치를 형성하는 것이 바람직한 경우에 패턴화될 수 있다. 베이스 기판 물질 그 자체를 패턴화하는 경우, 패턴은 기판의 층에 형성되는 것으로 고려될 수 있다.
층은, 예를 들면, 하나 이상의 전도성 층 예컨대 비정질 실리콘이 도핑되거나 폴리실리콘이 도핑된 알루미늄, 구리, 몰리브데늄, 탄탈륨, 티탄, 텅스텐, 이러한 금속의 합금, 질소화물 또는 규화물, 하나 이상의 유전체층 예컨대 실리콘 산화물, 실리콘 질화물, 실리콘 산화질화물, 또는 금속 산화물의 층, 반도체층, 예컨대 단결정 실리콘, 및 이들의 조합을 포함할 수 있다. 에칭되는 층은 다양한 기술, 예를 들면, 화학기상증착 (CVD) 예컨대 플라즈마-보강 CVD, 저압 CVD 또는 에피텍셜 성장, 물리적 기상 증착 (PVD) 예컨대 스퍼터링 또는 증발, 또는 전기도금에 의해 형성될 수 있다. 에칭되는 하나 이상의 층(102)의 특정 두께는 물질 및 형성되는 특정 장치에 따라 변화될 것이다.
에칭되는 특정 층, 필름 두께 및 사용되는 포토리소그래피 물질 및 공정에 따라, 층(102), 하드 마스크 층(103) 및/또는 포토레지스트 층(106)이 코팅되는 바닥 반사방지 코팅 (BARC)(104) 상에 증착되는 것이 바람직할 수 있다. 에칭되는 층은 상당한 에칭 깊이를 요구하고, 그리고/또는 특정 에칭제가 좋지 못한 레지스트 선택성을 가지는 경우, 매우 얇은 레지스트 층을 갖는 하드 마스크 층의 사용이 바람직할 수 있다. 하드 마스크 층이 사용되는 경우, 형성되는 레지스트 패턴은 결국 하지층(102)을 에칭하기 위한 마스크로서 사용될 수 있는 하드 마스크 층(103)으로 전사될 수 있다. 적합한 하드 마스크 물질 및 형성 방법은 본 기술분야에 공지되어 있다. 전형적인 물질은 예를 들면 텅스텐, 티탄, 티탄 질화물, 티탄 산화물, 지르코늄 산화물, 알루미늄 산화물, 알루미늄 산화질화물, 하프늄 산화물, 비정질 탄소, 실리콘 산화질화물 및 실리콘 질화물을 포함한다. 하드 마스크 층은 단일 층 또는 상이한 물질의 복수개의 층을 포함할 수 있다. 하드 마스크 층은 예를 들면 화학 또는 물리 기상 증착 기술에 의해 형성될 수 있다.
기판 및/또는 하지층이 포토레지스트 노출 과정에서 입사 방사선의 상당한 양을 반사하며 그렇지 않으면 형성되는 패턴의 품질에 악영향을 미칠 수 있는 경우에 바닥 반사방지 코팅이 바람직할 수 있다. 이러한 코팅은 개선된 초점 심도(depth-of-focus), 노출 관용도, 선폭 균일성 및 CD 제어를 개선할 수 있다. 반사방지 코팅은 전형적으로 레지스트가 심자외선 광 (300 nm 이하), 예를 들면, KrF 엑시머 레이저 광 (248 nm) 또는 ArF 엑시머 레이저 광 (193 nm)에 노출되는 경우에 사용된다. 반사방지 코팅은 단일층 또는 상이한 층의 복수개의 층을 포함할 수 있다. 적합한 반사방지 물질 및 형성 방법은 본 기술분야에 공지되어 있다. 반사방지 물질은 시판되는 예를 들면 Rohm and Haas Electronic Materials LLC (Marlborough, MA USA)에 의해 AR™ 상표명 하에 시판되는 것, 예컨대 AR™40A 및 AR™124 반사방지 물질이다.
포토레지스트 층(106)은 포토레지스트 물질, 전형적으로 산 분해성 기를 갖는 매트릭스 중합체를 포함하는 화학적으로 증폭된 감광성 조성물로부터 형성된다. 포토레지스트 층은 (존재하는 경우) 반사방지 층(104) 위에서 기판에 배치된다. 포토레지스트 조성물은 스핀-코팅, 디핑, 롤러-코팅 또는 다른 종래의 코팅 기술에 의해 기판에 도포될 수 있다. 이들 중에서, 스핀-코팅이 전형적이다. 스핀-코팅을 위해, 코팅 용액의 고체 함량은 이용되는 특정 코팅 장비, 용액의 점도, 코팅 장비의 속도 및 스피닝에 허용되는 시간의 양에 기초하여 원하는 필름 두께를 제공할 수 있도록 조정될 수 있다. 포토레지스트 층(106)에 대한 전형적인 두께는 약 500 내지 3000Å이다.
다음으로, 포토레지스트 층(106)은 층에서의 용매 함량을 최소화하기 위해 소프트베이킹되고, 이에 의해 고착 건조 코팅을 형성하고 기판에의 층의 부착을 개선할 수 있다. 소프트베이킹은 핫플레이트 상에서 또는 오븐 내에서 실시될 수 있고, 핫플레이트가 전형적이다. 소프트베이킹 온도 및 시간은 예를 들면 포토레지스트의 특정 물질 및 두께에 좌우될 수 있다. 전형적인 소프트베이킹은 약 90 내지 150℃, 및 약 30 내지 90초의 시간에서 실시된다.
포토레지스트 층(106)은 다음으로 포토마스크(110)를 통해 활성화 방사선(108)에 노출되어 노출된 영역과 비노출된 영역 사이에서 용해도에서의 차이를 생성한다. 조성물을 활성화시키는 방사선에 포토레지스트 조성물을 노출시키는 것에 대한 참조문헌은 방사선이 포토레지스트 조성물에서 잠상(latent image)을 형성할 수 있다는 것을 나타낸다. 포토마스크는 각각 활성화 방사선에 노출되고 그리고 노출되지 않는 레지스트 층의 영역에 상응하는 광학적 투명한 그리고 광학적 불투명 영역들을 가진다. 노출 파장은 전형적으로 400-이하 nm, 300-이하 nm 또는 200-이하 nm 예컨대 193 nm 또는 EUV 파장 (예를 들면, 13.4 또는 13.5 nm)이고, 193 nm (액침(immersion) 또는 건조 리소그래피) 및 EUV가 바람직하다. 노출 에너지는 전형적으로 노출 장비 및 감광성 조성물의 성분에 따라 약 10 내지 80 mJ/cm2이다.
포토레지스트 층(106)의 노출 이후, 노출후 베이킹(PEB)이 통상적으로 실시된다. PEB는 예를 들면, 핫플레이트 상에서 또는 오븐 내에서 실시될 수 있다. PEB에 대한 조건은 예를 들면 특정 포토레지스트 조성물 및 층 두께에 좌우될 것이다. PEB는 전형적으로 약 80 내지 150℃의 온도, 및 약 30 내지 90초의 시간에서 실시된다. 극성-전환 및 비전환 영역(각각 노출되고 그리고 미노출된 영역에 대응함) 사이의 경계로 한정되는 잠상이 이에 의해 형성된다.
포토레지스트 층(106)은 다음으로 현상되어 층의 노출된 영역이 제거되고, 도 1b에 나타난 바와 같이 복수개의 피처를 갖는 레지스트 패턴(106')을 형성하는 미노출된 영역들이 남겨진다. 피처는 제한되지 않고, 예를 들면 복수개의 선 및/또는 실린더형 포스트를 포함할 수 있고, 이는 패턴화되는 하지층 내에 선 및/또는 컨택 홀 패턴의 형성을 가능하게 할 수 있다. 형성되는 패턴은 L1으로서 나타내는 초기 크기, 선 패턴의 경우 선폭 또는 포스트 패턴에 대한 포스트 직경을 가진다. 네거티브 톤 현상 공정의 경우, 포토레지스트 층의 미노출된 영역이 제거되고, 노출된 영역은 잔류하여 레지스트 패턴을 형성하고, 유기 용매 현상액이 통상적으로 이용된다. 유기 용매 현상액은, 예를 들면, 케톤, 에스테르, 에테르, 탄화수소, 및 이들의 혼합물로부터 선택되는 용매일 수 있고, 2-헵타논 및 n-부틸 아세테이트가 전형적인 것이다.
본원에 기술되는 바와 같은 포토레지스트 패턴 트리밍 조성물의 층(112)은 도 1c에 나타난 바와 같은 포토레지스트 패턴(106') 위에 형성된다. 트리밍 조성물은 전형적으로 스핀-코팅에 의해 기판에 도포된다. 코팅 용액의 고형분은 조정되어 이용되는 특정 코팅 장비, 용액의 점도, 코팅 장비의 속도 및 스피닝에 허용되는 시간의 양에 기초하여 원하는 필름 두께를 제공할 수 있다. 패턴 트리밍 층(112)의 전형적인 두께는 200 내지 1500Å이고, 이는 전형적으로 미패턴화된 기판 상에서 측정된 것이다.
도 1d에 나타난 바와 같이, 기판은 다음으로 베이킹되어 트리밍 조성물 층에서의 용매를 제거하고, 열산 발생제를 활성화하고, 발생된 산을 레지스트 패턴(106')의 표면으로 확산되게 하여 레지스트 패턴 표면 영역(114) 내에서 극성 변화 반응을 야기한다. 베이킹은 핫플레이트 또는 오븐으로 실시되고, 핫플레이트가 전형적인 것이다. 적합한 베이킹 온도는 50℃ 초과, 예를 들면, 70℃ 초과, 90℃ 초과, 120℃ 초과 또는 150℃ 초과이고, 70 내지 160℃의 온도 및 30 내지 90초의 시간이 전형적인 것이다. 단일 베이킹 단계가 전형적인 것인 한편, 다단계 베이킹이 사용될 수 있고, 이는 레지스트 프로파일 조정을 위해 유용할 수 있다.
포토레지스트 패턴은 다음으로 세정제, 전형적으로 현상 용액과 접촉되어 잔류하는 트리밍 조성물 층(112) 및 포토레지스트 패턴의 표면 영역(114)을 제거하고, 생성된 트리밍된 패턴(106")은 도 1e에 도시된 바와 같다. 세정제는 전형적으로 수성 알칼리 현상액, 예를 들면, 사차 수산화암모늄 용액, 예를 들면, 테트라-알킬 수산화암모늄 용액 예컨대 0.26 노르말농도 (N) (2.38 wt%) 수산화테트라메틸암모늄 (TMAH)이다. 대안적으로, 유기 용매 현상액, 예를 들면, 케톤, 에스테르, 에테르, 탄화수소, 및 이들의 혼합물, 예컨대 2-헵타논 및 n-부틸 아세테이트가 사용될 수 있다. 세정제는 추가적으로 물이거나 물을 포함할 수 있다. 생성된 구조는 도 도 1e에 나타나 있다. 트리밍 이후의 레지스트 패턴은 트리밍 이전의 피처 크기와 비교하여 더 작은 치수 (L2)를 가진다.
에칭 마스트로서 레지스트 패턴(106")을 사용하여, BARC 층(104)이 선택적으로 에칭되어 BARC 패턴(104')을 형성하고, 이는 도 1f에 나타난 바와 같이 기저 하드마스크 층(103)을 노출시킨다. 하드마스크 층은 다음으로 에칭 마스크로서 레지스트 패턴을 다시 사용하여 선택적으로 에칭되고, 이는 도 1g에 나타난 바와 같이 패턴화된 BARC 및 하드마스크 층(103')을 생성한다. BARC 층 및 하드마스크 층을 에칭하기 위한 적합한 에칭 기술 및 화학물질은 본 기술분야에 공지되어 있고, 이는 예를 들면 이러한 층의 특정 물질에 좌우될 것이다. 건조-에칭 공정 예컨대 반응성 이온 에칭이 전형적인 것이다. 레지스트 패턴(106") 및 패턴화된 BARC 층(104')은 다음으로 공지된 기술, 예를 들면 산소 플라즈마 애싱을 사용하여 기판으로부터 제거된다. 하드마스크 패턴(103')을 에칭 마스크로 사용하여, 하나 이상의 층(102)이 이후 선택적으로 에칭된다. 하지층(102)을 에칭하기 위한 적합한 에칭 기술 및 화학물질은 본 기술분야에 공지되어 있고, 반응성 이온 에칭과 같은 건조-에칭 공정이 전형적인 것이다. 패턴화된 하드마스크 층(103')은 다음으로 공지된 기술, 예를 들면, 건조-에칭 공정 예컨대 반응성 이온 에칭 또는 습윤 스트립을 사용하여 기판 표면으로부터 제거될 수 있다. 생성된 구조는 도 1h에 예시된 바와 같은 에칭된 피처(102')의 패턴이다. 대안적인 예시적인 방법에 있어서, 하드마스크 층(103)을 사용하지 않고 포토레지스트 패턴(106")을 직접적으로 사용하여 층(102)을 패턴화하는 것이 바람직할 수 있다. 레지스트 패턴을 사용한 직접적인 패턴화가 이용될 수 있는지 여부는 인자 예컨대 관련된 물질, 레지스트 선택성, 레지스트 패턴 두께 및 패턴 치수에 좌우될 것이다.
하기 비-제한적인 실시예는 본 발명을 예시하는 것이다.
실시예
도 1에 나타난 열산 발생제를 하기 기재된 실시예에서 사용하였다.
표 1
Figure 112016102370497-pat00016
열산 발생제 합성
실시예 1: TAG-3의 합성
3-플루오로피리딘-1-이움3,5-비스((4,4,4-트리플루오로-3-하이드록시-3-(트리플루오로메틸)부톡시) 카보닐)벤젠설포네이트 (3FP SIPA-DiHFA) (TAG-3)을 반응식 1에 하기에 나타난 반응 순서에 따라 제조하였다.
Figure 112016102370497-pat00017
반응식 1: TAG-3 (3FP SIPA-DiHFA)의 합성
3,5-비스((4,4,4- 트리플루오로 -3- 하이드록시 -3-( 트리플루오로메틸 ) 부톡시 ) 보닐) 벤젠 설폰산 (산-A)의 합성: 50% 수용액으로 5-설포이소프탈산 (6.3 g, 24.3 mmol)을 실온에서 질소 흐름 하에서 15 g (70.7 mmol)의 4,4,4-트리플루오로-3-(트리플루오로메틸)부탄-1,3-디올과 혼합하였다. 반응 혼합물의 온도를 이후 110-120℃ 증가시켰다. 이 온도에서, 부산물로서의 물을 일정하게 증발시키면서 2-3 시간 동안 반응을 실시하였다. 반응 혼합물을 이후 1M HCl 수용액에 부었다. 5-10분 이후, 혼합물을 2개의 층으로 분리하였다. 유기층을 회수하고, 1M HCl 수용액으로 3회 세정하고, 이후 디에틸 에테르로 추출하였다. 미정제 생성물을 이후 MgSO4 상에서 건조시켰다. 휘발성 오염물을 회전 증발에 의해 제거하였다. 미정제 생성물을 헵탄:아세톤 (8:2)으로 추가로 세정하여 64% 수율로 고체산을 수득하였다. 1H NMR ((CD3)2CO, 500 MHz): δ 2.63 (t, 4H), 4.68 (t, 4H), 7.11 (bs, 3H), 8.68 (m, 3H). 19F NMR ((CD3)2CO, 500 MHz): δ -76.56.
3- 플루오로피리딘 -1- 이움3 ,5-비스((4,4,4- 트리플루오로 -3- 하이드록시 -3-( 리플루오로메틸) 부톡시 ) 카보닐 ) 벤젠설포네이트 (3 FP SIPA - DiHFA ) TAG-3의 합성: 메탄올 (200 mL) 중의 3,5-비스((4,4,4-트리플루오로-3-하이드록시-3-(트리플루오로메틸)부톡시)카보닐)벤젠설폰산 (산-A) (32g, 48.33 mmol)의 용액에 3-플루오로피리딘 (7g, 72.14 mmol)을 부가하였다. 생성된 혼합물을 실온에서 밤새 교반하였다. 완료시, 반응 혼합물을 감압 하에 농축시켰다. 헵탄 (300mL)을 규(gue)로서의 생성된 미정제 생성물에 부가하였고, 상기 혼합물을 2시간 동안 정치시켰다. 규는 서서히 고형물을 형성하기 시작하였다. 백색 고형물을 여과시키고 헵탄 및 디클로로메탄으로 세정하여 순수 생성물 3-플루오로피리딘-1-이움3,5-비스((4,4,4-트리플루오로-3-하이드록시-3-(트리플루오로메틸)부톡시) 카보닐) 벤젠설포네이트 (3FP SIPA-DiHFA) (TAG-3)을 90% 수율 (32g)으로 수득하였다. 1H NMR (CDCl3, 500 MHz): δ 2.17 (t, 4H), 4.14 (t, 4H), 7.30 (m, 4H), 8.45 (m, 3H). 19F NMR ((CD3)2CO, 500 MHz): δ -76.56, -123.06.
실시예 2: TAG-4의 합성
피리딘-1-이움 3,5-비스((4,4,4-트리플루오로-3-하이드록시-3-(트리플루오로메틸)부톡시) 카보닐)벤젠설포네이트 (Pyr SIPA-DiHFA) (TAG-4)을 반응식 2에서 하기에 나타난 반응 순서에 따라 제조하였다.
Figure 112016102370497-pat00018
반응식 2: TAG-4 (Pyr SIPA-DiHFA)의 합성
피리딘-1- 이움 3,5-비스((4,4,4- 트리플루오로 -3- 하이드록시 -3-( 트리플루오로메틸 )부톡시) 카보닐 ) 벤젠설포네이트 ( Pyr SIPA - DiHFA ) TAG-4의 합성: 화합물 TAG-4를 실시예 TAG-3에서 상기에 나타난 바와 동일한 과정에 따라 백색 고형물로서 90% 수율로 합성하였다. 1H NMR ((CD3)2CO, 500 MHz): δ 2.63 (t, 4H), 4.68 (t, 4H), 7.11 (bs, 3H), 8.68 (m, 3H), 8.50 (m, 2H), 9.16 (m, 1H), 9.23 (m, 2H). 19F NMR ((CD3)2CO, 500 MHz): δ -76.62.
실시예 3: TAG-5의 합성
피리미딘-1-이움 3,5-비스((4,4,4-트리플루오로-3-하이드록시-3-(트리플루오로메틸)부톡시) 카보닐)벤젠설포네이트 (피리미디늄 SIPA-DiHFA) (TAG-5)를 반응식 3에서 하기에 나타난 반응 순서에 따라 제조하였다.
Figure 112016102370497-pat00019
반응식 3: TAG-5 (피리미디늄 SIPA-DiHFA)의 합성
피리미딘-1- 이움 3,5-비스((4,4,4- 트리플루오로 -3- 하이드록시 -3-( 트리플루오 로메틸)부톡시) 카보닐 ) 벤젠설포네이트 ( 피리미디늄 SIPA - DiHFA ) (TAG-5)의 합성: 화합물 TAG-5를 실시예 TAG-3에서 상기에 나타난 바와 동일한 과정에 따라 백색 고형물로서 86% 수율로 합성하였다. 1H NMR (DMSO-d6, 500 MHz): δ 2.59 (t, 4H), 4.63 (t, 4H), 7.65 (bs, 1H), 8.51 (m, 3H), 8.92 (BS, 2H), 9.30 (bs, 1H). 19F NMR ((CD3)2CO, 500 MHz): δ -76.48.
실시예 4: TAG-6의 합성
3-플루오로피리딘-1-이움 3,5-비스(((3-하이드록시아다만탄-1-일)메톡시)카보닐) 벤젠설포네이트 (3FP SIPA-DiAdOH) (TAG-6)을 반응식 4에서 보여진 반응 순서에 따라 제조했다.
반응식 4: TAG-6 (3FP SIPA-DiAdOH)의 합성
Figure 112016102370497-pat00020
3,5- 비스((((1r,3s,5R,7S) -3- 하이드록시아다만탄 -1-일) 메톡시 ) 카보닐 )벤젠 설폰산 산 ( SIPA - DiAdOH ) 산-B의 합성: (1s,3r,5R,7S)-3-(하이드록시메틸)아다만탄-1-올 (20 g, 0.11 mol)을 톨루엔 (70 mL) 에서 용해시키고 용액을 80 ℃로 따뜻하게 했다. 이러한 따뜻한 혼합물에, 5-설포이소프탈산 산 (10 g, 40.61 mmol)을 서서히 부가했다. 반응 혼합물을 dean stark 하에서 6 시간 동안 환류했다. 완료 시, 반응 혼합물을 냉각하고 헵탄 (1L)에 부가했다. 수득한 슬러리를 1 시간 동안 교반했다. 고형물을 여과하고 건조하여 고형 산 B (11g)을 50% 수율로 얻었다. 1H NMR ((CD3)2CO, 500 MHz): δ 1.45 (m, 10H), 1.63 (m, 10H), 2.03 (m, 4H), 4.1 (s, 4H), 4.46 (bs, 2H), 8.79 (m, 3H).
3- 플루오로피리딘 -1- 이움 3,5- 비스 (((3- 하이드록시아다만탄 -1-일) 메톡시 ) 보닐) 벤젠설포네이트 ( 3FP SIPA - DiAdOH ) TAG-7의 합성: 메탄올 (100 mL) 중 3,5-비스((((1r,3s,5R,7S)-3-하이드록시아다만탄-1-일)메톡시)카보닐)벤젠 설폰산 산 (SIPA-DiAdOH) 산-B (9 g, 15.67 mmol)의 용액에 3-플루오로피리딘 (5 g, 51.49 mmol)을 부가했다. 수득한 혼합물을 실온에서 밤새 교반했다. 완료 시, 반응 혼합물을 감압 하에서 농축했다. MTBE (메틸 tert-부틸 에테르) (200mL)을 수득한 조 생성물에 큐(gue)로서 부가하고 혼합물을 2 시간 동안 정치했다. 서서히 큐(gue)를 개시하여 고형물을 얻었다. 백색 고형물을 여과하고 헵탄 및 디클로로메탄으로 세정하여 순수한 생성물 3-플루오로피리딘-1-이움 3,5-비스(((3-하이드록시아다만탄-1-일)메톡시)카보닐) 벤젠설포네이트 (3FP SIPA-DiAdOH) TAG-6을 66% 수율 (7 g)로 얻었다.
포토레지스트 조성물 제조
하기 단량체 M1-M5를 사용하여 하기 기재된 포토레지스트 (포토레지스트 조성물 A)를 제조하기 위한 중합체를 형성하였다.
Figure 112016102370497-pat00021
4.54 g의 중합체 (M1/M2/M3/M4/M5=2/1/4/1/2 몰비, MW=10K), 0.401g의 (4-t-부틸페닐)테트라메틸렌 설포늄 노르보르닐 퍼플루오로에톡시에틸설포네이트 (TBPTMS-NBPFEES), 0.178g의 트리페닐설포늄 4,4,5,5,6,6-헥사플루오로디하이드로-4H-1,3,2-디티아진 1,1,3,3-테트라옥사이드 (TPS-PFSI-CY6), 0.039g의 1-(tert부티옥시카보닐)-4-하이드록시피페리딘 (TBOC-4HP), 0.008 g의 POLYFOX 656 계면활성제 (Omnova Solutions Inc.), 75.87 g의 프로필렌 글리콜 메틸 에테르 아세테이트 및 18.97 g의 사이클로헥사논을 조합하여 화학적으로 증폭된 포지티브 포토레지스트 조성물을 제조하였다.
포토레지스트 패턴화된 웨이퍼 제조
80 nm BARC 층 (ARTM40A 반사방지제, Dow Electronic Materials, Marlborough, MA USA)로 코팅된 8-inch 실리콘 웨이퍼를 포토레지스트 조성물 A를 사용하여 스핀-코팅시켰고, 100℃에서 60초 동안 소프트베이킹하여 900Å의 레지스트 층 두께를 제공하였다. 0.89/0.64의 외부/내부 시그마(sigma)를 갖는 다이폴-35Y 하에 120 nm 1:1 및 1:8의 PSM 피처 크기를 갖는 선 및 공간 패턴을 갖는 마스크를 사용하여, NA=0.75, 다이폴 35Y 일루미네이션 (0.89/0.64 시그마)를 갖는 ASML ArF 1100 스캐너를 사용하여 웨이퍼를 노출시켰다. 노출된 웨이퍼를 100℃에서 60초 동안 노출후 베이킹시켰고, 0.26N TMAH 용액으로 현상하여 120 nm 1:1 and 1:8 선 및 공간 패턴 (듀티 비(duty ratio) = 1:1) 이미지화 레지스트 층을 형성하였다. 패턴에 대한 CD를 150 Kx 배율을 사용하여 500 볼트 (V)의 가속 전압, 5.0 피코암페어 (pA)의 프로브 전류에서 작동되는 Hitachi 9380 CD-SEM를 사용하는 탑-다운 주사 전자 현미경 (SEM)으로 촬영한 이미지를 가공하여 결정하였다. 각각의 웨이퍼에 대해 3개의 노출 관용도를 취하여 평균화하였다. 평균 노출 관용도를 이후 다항 회귀 분석을 사용하여 최적화하여 레지스트 패턴 트리밍이 없는 경우에 대해 120 nm 선들의 수정 사이징 선량(correct sizing dose)을 결정하였다. 이러한 사이징 선량을 이후 레지스트 패턴-트리밍된 웨이퍼의 다항 회귀 분석에 대해 사용하여 각각의 패턴-트리밍된 웨이퍼의 최종 CD를 계산하였다. CD 측정값의 결과를 표 2에 나타내었다.
포토레지스트 트리밍 조성물, 패턴 트리밍 및 평가
실시예 5(비교) (PTC-1)
0.202g의 n-부틸메타크릴레이트/메타크릴산 중합체 (77/23 중량비)의 공중합체, 0.014g의 3-플루오로피리딘-1-이움 1,1,2,2,3,3,4,4,4-노나플루오로부탄-1-설포네이트 TAG 1 (3FP PFBuS), 7.827 g의 메틸 이소부틸 카르비놀 및 1.957 g의 이소아밀 에테르를 모든 성분이 용해될 때까지 혼합하였다. 혼합물을 0.2 마이크론 나일론 필터로 여과하여 포토레지스트 트리밍 조성물 PTC-1을 수득하였다. PTC-1의 60 nm 필름을 상기 제조된 바와 같이 포토레지스트-패턴화 웨이퍼 상에 스핀-코팅하였고, 60초 동안 핫플레이트 상에서 70℃ 또는 90℃에서 베이킹하였고, SH 노즐로 12초 동안 2.38 wt% TMAH 현상액으로 현상시켰다. 트리밍된 패턴의 CD를 사전-트리밍된 패턴과 동일한 방식으로 측정하였고 그 결과는 표 2에 나타나 있다.
실시예 6 (비교) (PTC-2)
0.203g의 n-부틸메타크릴레이트/메타크릴산 중합체 (77/23 중량비)의 공중합체, 0.013g의 피리미딘-1-이움 1,1,2,2,3,3,4,4,4-노나플루오로부탄-1-설포네이트 TAG 2 (피리미디늄 PFBuS), 7.827 g의 메틸 이소부틸 카르비놀 및 1.957 g의 이소아밀 에테르를 모든 성분이 용해될 때까지 혼합하였다. PTC-2 중의 TAG-2의 함량은 실시예 1에서의 TAG 1 함량과 등몰이다. 혼합물을 0.2 마이크론 나일론 필터로 여과하여 포토레지스트 트리밍 조성물 PTC-2를 수득하였다. PTC-2의 60 nm 필름을 상기 제조된 바와 같은 포토레지스트-패턴화된 웨이퍼 상에 스핀-코팅하였고, 핫플레이트 상에서 60초 동안 70℃ 또는 90℃에서 베이킹하였고, SH 노즐로 12초 동안 2.38 wt% TMAH 현상액으로 현상시켰다. 트리밍된 패턴의 CD를 사전-트리밍된 패턴과 동일한 방식으로 측정하였고 그 결과는 표 2에 나타나 있다.
실시예 7 (PTC-3)
0.191g의 n-부틸메타크릴레이트/메타크릴산 중합체 (77/23 중량비)의 공중합체, 0.025g의 3-플루오로피리딘-1-이움3,5-비스((4,4,4-트리플루오로-3-하이드록시-3-(트리플루오로메틸) 부톡시)카르보닐)벤젠설포네이트 TAG-3 (3FP SIPA-DiHFA), 7.827 g의 메틸 이소부틸 카르비놀 및 1.957 g의 이소아밀 에테르를 모든 성분이 용해될 때까지 혼합하였다. PTC-3 중의 TAG-3의 함량은 실시예 1에서의 TAG-1 함량과 등몰이다. 혼합물을 0.2 마이크론 나일론 필터로 여과하여 포토레지스트 트리밍 조성물 PTC-3을 수득하였다. PTC-3의 60 nm 필름을 상기 제조된 바와 같은 포토레지스트-패턴화된 웨이퍼 상에 스핀-코팅하였고, 핫플레이트 상에서 60초 동안 90℃에서 베이킹하였고, SH 노즐로 12초 동안 2.38 wt% TMAH 현상액으로 현상시켰다. 트리밍된 패턴의 CD를 사전-트리밍된 패턴과 동일한 방식으로 측정하였고 그 결과는 표 2에 나타나 있다.
실시예 8 (PTC-4)
0.191g의 n-부틸메타크릴레이트/메타크릴산 중합체 (77/23 중량비)의 공중합체, 0.025 g의 피리딘-1-이움 3,5-비스((4,4,4-트리플루오로-3-하이드록시-3-(트리플루오로메틸)부톡시)카르보닐) 벤젠설포네이트 TAG-4 (Pyr SIPA-DiHFA), 7.827 g의 메틸 이소부틸 카르비놀 및 1.957 g의 이소아밀 에테르를 모든 성분이 용해될 때까지 혼합하였다. PTC-4 중의 TAG-4의 함량은 실시예 1에서의 TAG-1 함량과 등몰이다. 혼합물을 0.2 마이크론 나일론 필터로 여과하여 포토레지스트 트리밍 조성물 PTC-4를 수득하였다. PTC-4의 60 nm 필름을 상기 제조된 바와 같은 포토레지스트-패턴화된 웨이퍼 상에 스핀-코팅하였고, 핫플레이트 상에서 60초 동안 90℃ 또는 105℃에서 베이킹하였고, SH 노즐로 12초 동안 2.38 wt% TMAH 현상액으로 현상시켰다. 트리밍된 패턴의 CD를 사전-트리밍된 패턴과 동일한 방식으로 측정하였고 그 결과는 표 2에 나타나 있다.
실시예 9 (PTC-5)
0.191g의 n-부틸메타크릴레이트/메타크릴산 중합체 (77/23 중량비)의 공중합체, 0.025g의 피리미딘-1-이움 3,5-비스((4,4,4-트리플루오로-3-하이드록시-3-(트리플루오로메틸)부톡시) 카르보닐) 벤젠설포네이트 TAG-5 (피리미디늄 SIPA-DiHFA), 7.827 g의 메틸 이소부틸 카르비놀 및 1.957 g의 이소아밀 에테르를 모든 성분이 용해될 때까지 혼합하였다. PTC-5 중의 TAG-5의 함량은 실시예 1에서의 TAG-1 함량과 등몰이다. 혼합물을 0.2 마이크론 나일론 필터로 여과하여 포토레지스트 트리밍 조성물 PTC-5를 수득하였다. PTC-5의 60 nm 필름을 상기 제조된 바와 같은 포토레지스트-패턴화된 웨이퍼 상에 스핀-코팅하였고, 핫플레이트 상에서 60초 동안 90℃ 또는 105℃에서 베이킹하였고, SH 노즐로 12초 동안 2.38 wt% TMAH 현상액으로 현상시켰다. 트리밍된 패턴의 CD를 사전-트리밍된 패턴과 동일한 방식으로 측정하였고 그 결과는 표 2에 나타나 있다.
실시예 10 (PTC-6)
n-부틸메타크릴레이트/메타크릴산 폴리머 (77/23 중량 비)의0.193g 코폴리머, 0.023g 3-플루오로피리딘-1-이움 3,5-비스(((3-하이드록시아다만탄-1-일)메톡시)카보닐)벤젠 설포네이트 TAG-6 (3FP SIPA-DiAdOH), 7.827 g 메틸 이소부틸 카비놀 및 1.957 g 이소아밀 에테르를, 모든 성분이 혼합될 때까지 혼합했다. PTC-6 중 TAG-6의 함량은 실시예 1의 TAG-1 함량과 등몰이다. 혼합물을 0.2 마이크론 나일론 필터로 여과하여, 포토레지스트 트리밍 조성물 PTC-6을 얻었다. PTC-6의60 nm 필름을 상기에서 제조된 포토레지스트-패턴화된 웨이퍼 상에서 스핀-코팅하고, 90 ℃의 온도에서 60 초 동안 핫플레이트 상에서 베이킹하고, 2.38 wt% TMAH 현상액에서 12 초 동안 SH 노즐로 현상했다. 트리밍된 패턴의 CD를 전-트리밍된 패턴과 동일한 방식으로 측정했고, 이 결과는 표 2에서 보여진다.
등밀도 바이어스
등밀도 바이어스를 하기 식을 사용하여 다양한 샘플에 대해 계산하였다:
IDB = △CD1:8 - △CD1:1
식 중, IDB = 등밀도; △CD1 :8 = [(트리밍 전의 120 nm 1:8 패턴의 CD)-(트리밍 이후의 120 nm 1:8 패턴의 CD)]; 그리고 △CD1 :1 = [(트리밍 전의 120 nm 1:1 패턴의 CD)-(트리밍 이후의 120 nm 1:1 패턴의 CD)]. 10 nm 이상의 등밀도 바이어스는 좋지 않은 것으로 판단되었고, 10 nm 미만이 양호한 것이고, 더 낮은 값은 높은 값에 비해 개선된 등밀도 바이어스를 나타낸다. 그 결과는 표 2에 제공된다.
표 2
Figure 112016102370497-pat00022
표 2로부터 알 수 있는 바와 같이, 벌키성 방향족 설포네이트 TAG 음이온을 함유하는 트리밍 조성물 PTC-3 내지 PTC-6은 더 작은 비방향족 설포네이트 TAG 음이온을 함유하는 비교 조성물 PTC-1 및 PTC-2의 것보다 동일하거나 더 높은 온도에서 더 낮은 트리밍 양을 갖는 레지스트 패턴을 초래하였다. 더 낮은 트리밍 값은 비교 실시예 TAG의 것과 비교되는 바와 같이 PTC-3 내지 PTC-6 TAG의 상대적으로 벌키성 음이온의 결과인 것으로 여겨진다. 등밀도 바이어스는 비교 조성물 PTC-1 및 PTC-2의 것보다 트리밍 조성물 PTC-3, PTC-4 및 PTC-6에 대해 개선되었다 (더 낮았다). 상이한 베이킹 온도에서 시험되는 트리밍 조성물 각각은 증가된 온도와 함께 증가된 트리밍 양을 나타내었고, 이는 관련되는 탈보호 반응을 갖는 레지스트로의 산의 증가된 확산을 나타낸다.

Claims (9)

  1. 하기 일반 식 (I)의 이온성 열산 발생제:
    Figure 112017083980819-pat00023

    식 중: Ar1은 임의로 치환된 카보사이클릭 또는 헤테로사이클릭 방향족 기를 나타내고; W는 독립적으로 카복실, 하이드록시, 니트로, 시아노, C1-5 알콕시 및 포르밀로부터 선택된 기를 나타내고; X는 양이온이고; Y는 독립적으로 연결기를 나타내고; Z는 독립적으로 하이드록실, 플루오르화된 알코올, 에스테르, 임의로 치환된 알킬, C5 이상의 임의로 치환된 모노사이클릭, 폴리사이클릭, 융합된 폴리사이클릭 지환족, 또는 아릴로부터 선택된 기를 나타내고, 이것들은 헤테로원자를 임의로 함유할 수 있고, 단, 적어도 하나의 경우의 Z는 에스테르기를 통해 방향족 고리에 결합되는 하이드록실기이고; a는 0 이상의 정수이고; b는 1 이상의 정수이고; 단, a + b는 적어도 1이고 방향족 기의 이용가능한 방향족 탄소 원자의 총 수 이하이다.
  2. 제1항에 있어서, 상기 일반 식 (I)의 이온성 열산 발생제 내의 음이온은 복수의 하이드록실기를 포함하는, 이온성 열산 발생제.
  3. 제2항에 있어서, 상기 일반 식 (I)의 이온성 열산 발생제 내의 음이온은 각 에스테르기를 통해 방향족 고리에 결합된 복수의 하이드록실기를 포함하는, 이온성 열산 발생제.
  4. 제1항에 있어서, Y는 황, 임의로 치환된 아미노기, 아미드, 에테르, 카보닐 에스테르, 설포닐 에스테르, 설폰, 설폰아미드, 2가 탄화수소기, 및 이들의 조합으로부터 독립적으로 선택되는, 이온성 열산 발생제.
  5. 제1항에 있어서, 상기 양이온은 피리딘 유도체인, 이온성 열산 발생제.
  6. 제1항 내지 제5항 중 어느 한 항의 이온성 열산 발생제, 매트릭스 중합체 및 용매를 포함하는, 포토레지스트 패턴 트리밍 조성물.
  7. 제6항에 있어서, 상기 용매는 유기 용매인, 포토레지스트 패턴 트리밍 조성물.
  8. (a) 반도체 기판을 제공하는 단계;
    (b) 산 분해성 기를 포함하는 매트릭스 중합체; 광산 발생제; 및 용매를 포함하는 포토레지스트 조성물로부터 형성되는 포토레지스트 패턴을 상기 기판상에 형성하는 단계;
    (c) 상기 기판상의 포토레지스트 패턴 위에 제6항의 포토레지스트 패턴 트리밍 조성물을 코팅하는 단계;
    (d) 상기 코팅된 기판을 가열함으로써, 상기 포토레지스트 패턴의 표면 영역에서 상기 포토레지스트 조성물의 매트릭스 중합체의 극성의 변화를 야기시키는 단계; 및
    (e) 상기 포토레지스트 패턴을 세정제와 접촉시켜 포토레지스트 패턴의 표면 영역을 제거함으로써, 트리밍된 포토레지스트 패턴을 형성하는 단계;를 포함하는,
    포토레지스트 패턴의 트리밍 방법.
  9. 제8항에 있어서, 상기 용매는 유기 용매인, 포토레지스트 패턴의 트리밍 방법.
KR1020160136778A 2015-10-31 2016-10-20 열산 발생제 및 포토레지스트 패턴 트리밍 조성물 및 방법 KR101809582B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562249259P 2015-10-31 2015-10-31
US62/249,259 2015-10-31

Publications (2)

Publication Number Publication Date
KR20170051273A KR20170051273A (ko) 2017-05-11
KR101809582B1 true KR101809582B1 (ko) 2017-12-15

Family

ID=58637473

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160136778A KR101809582B1 (ko) 2015-10-31 2016-10-20 열산 발생제 및 포토레지스트 패턴 트리밍 조성물 및 방법

Country Status (5)

Country Link
US (1) US10241407B2 (ko)
JP (1) JP6336545B2 (ko)
KR (1) KR101809582B1 (ko)
CN (1) CN106631922A (ko)
TW (1) TWI615383B (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI628159B (zh) * 2015-10-31 2018-07-01 羅門哈斯電子材料有限公司 熱酸產生劑以及光阻劑圖案修整組合物及方法
US10241411B2 (en) * 2016-10-31 2019-03-26 Rohm And Haas Electronic Materials Llc Topcoat compositions containing fluorinated thermal acid generators
US10662274B2 (en) 2016-12-02 2020-05-26 Georgia Tech Research Corporation Self-immolative polymers, articles thereof, and methods of making and using same
US10429737B2 (en) * 2017-09-21 2019-10-01 Rohm And Haas Electronic Materials Korea Ltd. Antireflective compositions with thermal acid generators
US10153161B1 (en) * 2017-11-27 2018-12-11 Nanya Technology Corporation Method for manufacturing a semiconductor structure
US20210165325A1 (en) * 2018-08-31 2021-06-03 Fujifilm Corporation Actinic ray-sensitive or radiation-sensitive resin composition, actinic ray-sensitive or radiation-sensitive film, pattern forming method, method for manufacturing electronic device, and compound
KR102156273B1 (ko) * 2019-05-03 2020-09-15 (주)코이즈 유기 하드마스크용 중합체 및 이를 포함하는 유기 하드마스크용 조성물
US11506981B2 (en) * 2019-05-31 2022-11-22 Rohm And Haas Electronic Materials Llc Photoresist pattern trimming compositions and pattern formation methods

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030199578A1 (en) 2002-04-19 2003-10-23 Turner Sean C. Naphthalene amides as potassium channel openers
JP2013218191A (ja) * 2012-04-11 2013-10-24 Shin Etsu Chem Co Ltd パターン形成方法
WO2014129582A1 (ja) * 2013-02-25 2014-08-28 日産化学工業株式会社 水酸基を有するアリールスルホン酸塩含有レジスト下層膜形成組成物

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63231445A (ja) * 1987-03-20 1988-09-27 Konica Corp オキソノ−ル染料を含有するハロゲン化銀写真感光材料
JPH04329216A (ja) 1991-05-02 1992-11-18 Hitachi Cable Ltd 絶縁電線
US6180320B1 (en) 1998-03-09 2001-01-30 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing a semiconductor device having a fine pattern, and semiconductor device manufactured thereby
JP4329216B2 (ja) 2000-03-31 2009-09-09 Jsr株式会社 レジストパターン縮小化材料及びそれを使用する微細レジストパターンの形成方法
US6492075B1 (en) 2000-06-16 2002-12-10 Advanced Micro Devices, Inc. Chemical trim process
JP2002006512A (ja) 2000-06-20 2002-01-09 Mitsubishi Electric Corp 微細パターン形成方法、微細パターン形成用材料、およびこの微細パターン形成方法を用いた半導体装置の製造方法
JP4253427B2 (ja) * 2000-09-19 2009-04-15 富士フイルム株式会社 ポジ型レジスト組成物
JP2002299202A (ja) 2001-03-29 2002-10-11 Sony Corp 半導体装置の製造方法
TW576859B (en) 2001-05-11 2004-02-21 Shipley Co Llc Antireflective coating compositions
US6869899B2 (en) 2001-07-12 2005-03-22 International Business Machines Corporation Lateral-only photoresist trimming for sub-80 nm gate stack
JP3953822B2 (ja) 2002-01-25 2007-08-08 富士通株式会社 レジストパターン薄肉化材料、レジストパターン及びその製造方法、並びに、半導体装置及びその製造方法
US7862982B2 (en) 2008-06-12 2011-01-04 International Business Machines Corporation Chemical trim of photoresist lines by means of a tuned overcoat material
JP5544098B2 (ja) * 2008-09-26 2014-07-09 富士フイルム株式会社 感活性光線性または感放射線性樹脂組成物、及び該感光性組成物を用いたパターン形成方法
JP5731807B2 (ja) * 2010-12-02 2015-06-10 株式会社トクヤマデンタル 歯科用硬化性組成物
TWI510854B (zh) 2011-12-31 2015-12-01 羅門哈斯電子材料有限公司 光阻劑圖案修整方法
JP6108832B2 (ja) * 2011-12-31 2017-04-05 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジストパターントリミング方法
JP6048345B2 (ja) * 2012-09-05 2016-12-21 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
US9541834B2 (en) 2012-11-30 2017-01-10 Rohm And Haas Electronic Materials Llc Ionic thermal acid generators for low temperature applications
JP6328931B2 (ja) 2012-12-31 2018-05-23 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジストパターントリミング方法
JP5821862B2 (ja) * 2013-01-29 2015-11-24 信越化学工業株式会社 ネガ型レジスト材料並びにこれを用いたパターン形成方法
JP6108869B2 (ja) * 2013-02-22 2017-04-05 旭化成株式会社 感光性樹脂組成物、硬化レリーフパターンの製造方法、半導体装置及び表示体装置
TW201529743A (zh) * 2014-01-28 2015-08-01 Fujifilm Corp 著色組成物、硬化膜、彩色濾光片的製造方法、彩色濾光片、固體攝像元件及圖像顯示裝置
TWI617611B (zh) * 2014-12-31 2018-03-11 羅門哈斯電子材料有限公司 光致抗蝕劑圖案修整組合物及方法
TWI628159B (zh) * 2015-10-31 2018-07-01 羅門哈斯電子材料有限公司 熱酸產生劑以及光阻劑圖案修整組合物及方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030199578A1 (en) 2002-04-19 2003-10-23 Turner Sean C. Naphthalene amides as potassium channel openers
JP2013218191A (ja) * 2012-04-11 2013-10-24 Shin Etsu Chem Co Ltd パターン形成方法
WO2014129582A1 (ja) * 2013-02-25 2014-08-28 日産化学工業株式会社 水酸基を有するアリールスルホン酸塩含有レジスト下層膜形成組成物

Also Published As

Publication number Publication date
CN106631922A (zh) 2017-05-10
TWI615383B (zh) 2018-02-21
KR20170051273A (ko) 2017-05-11
US10241407B2 (en) 2019-03-26
US20170123313A1 (en) 2017-05-04
JP6336545B2 (ja) 2018-06-06
TW201714874A (zh) 2017-05-01
JP2017088865A (ja) 2017-05-25

Similar Documents

Publication Publication Date Title
KR101809582B1 (ko) 열산 발생제 및 포토레지스트 패턴 트리밍 조성물 및 방법
KR101790056B1 (ko) 포토레지스트 패턴 트리밍 조성물 및 방법
TWI447130B (zh) 形成光微影圖案之聚合物、光阻劑組成物及方法
KR102346806B1 (ko) 포토레지스트 패턴 트리밍 조성물 및 방법
US20100248147A1 (en) Photoresist compositions and process for multiple exposures with multiple layer photoresist systems
TW201234111A (en) Photoresist composition for negative development and pattern forming method using thereof
KR20180018603A (ko) 열산 발생제 및 포토레지스트 패턴 트리밍 조성물 및 방법
KR20120098541A (ko) 포토레지스트 조성물 및 포토리소그래피 패턴 형성 방법
KR102503409B1 (ko) 포토레지스트 조성물 및 이러한 조성물로 레지스트 패턴을 형성하는 방법
KR20180079210A (ko) 패턴-형성 방법
KR102389492B1 (ko) 레지스트 조성물, 이의 제조 방법 및 이를 함유하는 물품
KR20220056117A (ko) 포토레지스트 패턴 트리밍 조성물 및 포토레지스트 패턴의 트리밍 방법
CN115903381A (zh) 光致抗蚀剂组合物及图案形成方法
JP2022105281A (ja) 光酸発生剤、フォトレジスト組成物、及びパターン形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant