KR101787100B1 - 고온 작동을 위한 온도조절식 샤워헤드 - Google Patents

고온 작동을 위한 온도조절식 샤워헤드 Download PDF

Info

Publication number
KR101787100B1
KR101787100B1 KR1020100129965A KR20100129965A KR101787100B1 KR 101787100 B1 KR101787100 B1 KR 101787100B1 KR 1020100129965 A KR1020100129965 A KR 1020100129965A KR 20100129965 A KR20100129965 A KR 20100129965A KR 101787100 B1 KR101787100 B1 KR 101787100B1
Authority
KR
South Korea
Prior art keywords
front plate
stem
temperature
showerhead
inches
Prior art date
Application number
KR1020100129965A
Other languages
English (en)
Other versions
KR20110070826A (ko
Inventor
크리스토퍼 엠. 바틀렛트
밍 리
존 헨리
마샬 알. 스토웰
모하메드 사브리
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20110070826A publication Critical patent/KR20110070826A/ko
Application granted granted Critical
Publication of KR101787100B1 publication Critical patent/KR101787100B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

화학적 증기 증착(CVD) 챔버용 온도조절식 샤워헤드 조립체는 열분산을 향상시켜 샤워헤드 전면판의 온도가 정밀하게 조절되고 주위 구성부품들보다 실질적으로 더 낮은 온도로 유지된다. 진공 환경에서 외부에 장착된 열교환기에 의해 제거되고 샤워헤드 스템을 통해 전도되어 열이 분산된다. 샤워헤드의 스템 내로 삽입된 가열 부재에 의해 열이 공급된다. 전면판과 열접촉 상태에 있으며 스템 내에 장착된 온도 센서에 의해 공급된 피드백을 사용하여 온도가 조절된다.

Description

고온 작동을 위한 온도조절식 샤워헤드{TEMPERATURE CONTROLLED SHOWERHEAD FOR HIGH TEMPERATURE OPERATIONS}
본 특허출원은 2009년 12월 18일에 출원된 미국특허출원번호 12/642,497호로부터 우선권주장하며, 이 특허출원은 본 명세서에서 참조문헌으로 통합된다.
본 발명은 화학적 증기 증착(CVD) 챔버용 온도조절식 샤워헤드 조립체에 관한 것이다.
화학적 증기 증착(CVD) 챔버는 통상 천공 또는 다공성 평면 표면을 가진 샤워헤드를 포함하며, 반도체 기판 표면과 같은 제 2의 평행한 평면 표면에 걸쳐 반응물과 운반 가스를 균일하게 분포시킨다. 기판은 전구물질(precursor)이 반응하는 공정 온도(process temperature)까지 가열될 수 있으며 이에 따라 필름이 기판 표면 상에 증착된다. 샤워헤드 반응기(reactor), 또는 평행-판 반응기들은 예를 들어, 플라즈마-향상 화학적 증기 증착(PECVD)과 같은 플라즈마-향상 공정(plasma-enhanced process)을 실행한다. 기판 지지부(예컨대, 페데스털(pedestal))가 접지되고 전극들 중 한 전극으로서 사용될 수 있다. 샤워헤드는 RF 전력이 인가되는 또 다른 전극으로서 사용될 수 있다. 또 다른 구성에서, RF 전력은 기판 지지부에 인가될 수 있으며, 이때 샤워헤드는 접지될 수 있다.
증착의 균일도는 샤워헤드와 기판의 온도와 같은 공정 변수들의 변화들에 의해 부정적인 영향을 끼칠 수 있다. 이러한 변화는 공정의 시작 초기(예를 들어, 정상상태에 도달하기 전), 세척 사이클, 및 공전(idling) 단계 동안 나타날 수 있다. 예를 들어, 초기에 반응기가 작동될 때, 샤워헤드의 온도가 안정되기까지 오랜 시간이 걸릴 수 있다. 샤워헤드는 기판(또는 기판 페데스털)으로부터 복사(radiation)에 의해 가열될 수 있으며, 추가적인 온도 변동을 야기하는 처리 단계 동안 몇몇 지점에서 유입될 수 있는 플라즈마에 의해 가열될 수 있다. 이와 동시에, 샤워헤드는 샤워헤드의 외측 표면들로부터 복사에 의해 그리고 샤워헤드를 통해 흐르는 공정 가스에 일부 열을 빼앗길 수 있다. 또한, 온도 변화는 샤워헤드의 표면 방사율(emissivity)의 변화와 같이 시스템 내에서 그 외의 다른 영구적인 변화들에 의해 야기될 수 있다. 가변 온도는 증착된 필름의 실질적인 불균일성을 야기할 수 있다. 또한, 고온에서 샤워헤드를 작동시키는 것은 샤워헤드의 작동 수명을 단축시키고 입자 오염을 유발시킬 수 있다. 예를 들어, 300℃ 이상의 온도는 알루미늄 샤워헤드 표면 상에 알루미늄 플루오라이드를 급격하게 형성시킬 수 있다. 알루미늄 플루오라이드는 벗겨져 떨어져서 밑에 있는 기판 위로 떨어지기 쉽다.
따라서, 샤워헤드 온도를 더욱 정밀하게 조절하고 저온에서 샤워헤드를 작동시키기 위해 신규 기기와 방법들이 필요하다.
향상된 열전달 특징들을 가진 온도조절식 CVD 샤워헤드는 정밀하고 안정적인 온도 조절을 제공하고 챔버 내에 있는 변화사항들에 의해 야기된 온도 변동을 줄인다. 이러한 샤워헤드는 작동 환경에서의 변화들이 시스템을 교란시킬 때(예를 들어, 플라즈마 제너레이터(plasma generator)를 작동시키고, 페데스털(pedestal) 상에 새로운 기판을 삽입하며, 공정 가스의 유속을 변경시킬 때), 온도 설정점으로 신속하게 회복시킬 수 있다. 정밀한 온도 조절은 기판 대 기판 균일도를 개선시킨다.
온도 센서는 전면판(face plate)의 온도를 모니터링 하고 가열 부재(heating element) 및/또는 열교환기를 조절하기 위해 피드백을 제공하도록 사용된다. 효율적인 열전달 경로(heat transfer path)는 전면판과 가열 부재 사이 및 전면판과 열교환기 사이에 존재하여 전면판으로부터 열을 효율적으로 공급시킬 수 있게 하거나 또는 열을 효율적으로 제거시킬 수 있게 한다. 열전달은 스템(stem)과 후면판(back plate)의 큰 횡단면 프로파일에 의해 특징지어진다. 또한, 알루미늄 6061-T6과 같은 높은 열전도율을 가진 재료들이 이러한 부재들을 구성하도록 사용된다. 열은 스템 내에 장착된 고전력 가열 부재들과 열교환기를 통하여 높은 열용량을 지닌 냉각 유체들을 통과시킴으로써 구현된 온도 구배(temperature gradient)에 의해 전달된다.
정밀한 온도 조절과 결부된 열전달 및 열분산 특징들은 샤워헤드가, 페데스털로부터 전면판으로의 실질적인 열 플럭스(heat flux)에도 불구하고, 페데스털 근처의 온도보다 실질적으로 더 낮은 온도에서(예를 들어, 대략 100℃ 내지 300℃에서) 작동될 수 있게 한다. 낮은 온도는 샤워헤드의 작동 수명을 연장시키고 입자 오염을 최소화시킨다. 특정 구체예들에서, 열분산은 스템과 후면판 상의 외측 표면들에 고방사율을 제공하는 결과로서 발생된다.
가열 부재, 열교환기, 및 온도 센서는 샤워헤드로부터 쉽게 제거될 수 있다. 특정 구체예들에서, 이 구성부품(component)들은 증착 챔버의 내부 환경에 영향을 주지 않고 교체될 수 있다. 달리 말하면, 위에서 언급한 샤워헤드 조립체 구성부품들 중 하나 또는 그 이상의 구성부품들이 교체되는 동안 증착 챔버는 낮은 작동 압력에 유지될 수 있다. 제거가능한 구성부품들은 샤워헤드와 전체 증착 시스템의 유지보수와 문제해결 과정을 단순화시키며 증착 시스템의 작동중지 시간을 최소화시킨다.
특정 구체예들에서, 화학적 증기 증착(CVD) 기기에 사용하기 위한 온도조절식 샤워헤드 조립체는: 열전도 스템; 상기 열전도 스템에 부착된 후면판; 상기 후면판에 부착되고 상기 열전도 스템에 열 결합된 전면판; 상기 열전도 스템에 열 결합된 가열 부재; 상기 열전도 스템에 열 결합된 열교환기; 및 상기 전면판에 열 결합된 온도 센서를 포함한다. 상기 온도조절식 샤워헤드는 상기 가열 부재와 상기 전면판 사이와 상기 제거가능한 열교환기와 상기 전면판 사이에 열전달 경로들을 제공함으로써 상기 전면판의 온도를 미리 결정된 범위 내에 유지시키도록 구성될 수 있다. 상기 전면판은 공정 가스들을 균일하게 분포시키도록 구성된 다수의 관통 홀들을 가질 수 있다. 특정 구체예들에서, 가열 부재, 열교환기, 및/또는 온도 센서는 온도조절식 샤워헤드 조립체로부터 제거가능하다.
상기 열전도 스템의 중실 횡단면적은 샤프트 부분의 길이를 따라 평균적으로 대략 5인치2 이상일 수 있다. 열전도 스템, 후면판, 및/또는 전면판은 대략 150W/미터/켈빈 이상의 열전도율을 가진 재료로 제조될 수 있다. 특정 구체예들에서, 열전도 스템, 후면판, 및/또는 전면판은 알루미늄 6061 및 알루미늄 3003으로 제조된다. 후면판의 평균 두께는 대략 2인치 이상일 수 있으며, 전면판의 평균 두께는 대략 0.5인치 내지 1인치이다. 전면판과 후면판의 평균 간격은 대략 0.25인치 내지 0.75인치일 수 있다. 전면판과 후면판 사이의 접촉 영역은 대략 30인치2 내지 50인치2일 수 있다. 전면판은 대략 13.5인치 내지 16.5인치의 직경을 가질 수 있다.
특정 구체예들에서, 열교환기는 냉각 유체를 흐를 수 있게 하도록 구성된 대류 냉각 유체 통로를 포함한다. 냉각 유체는 물 또는 액체 부동액일 수 있다. 동일한 구체예 또는 그 외의 다른 구체예들에서, 열교환기는 전면판으로부터 대략 7인치 내에 위치된다. 온조조절식 샤워헤드 조립체는 대략 0.2 내지 0.8의 전면판의 방사율을 위해 전면판의 온도를 대략 200℃ 내지 300℃에 유지시키도록 구성된다. 특정 구체예들에서, 가열 부재는 두 개의 카트리지 히터(cartridge heater)를 포함하며, 이 각각의 카트리지 히터는 대략 500W 이상의 전력 출력을 제공하도록 구성된다.
스템은 상부 표면을 포함할 수 있다. 가열 부재는 스템 내에 위치되고 스템 내로 배치될 수 있도록 구성되며 상부 표면을 통해 스템으로부터 제거될 수 있다. 또한, 온도 센서는 스템 내에 위치되고 스템 내로 배치될 수 있도록 구성되며 상부 표면을 통해 스템으로부터 제거될 수 있다.
특정 구체예들에서, 후면판 및/또는 스템의 외측 표면은 고방사율 표면을 가진다. 예를 들어, 상기 고방사율 표면은 경질 알루미늄(anodized aluminum)일 수 있다.
특정 구체예들에서, 부분적으로 제조된 반도체 기판 상에 반도체 재료를 증착시키기 위한 화학적 증기 증착(CVD) 시스템: 처리 챔버(processing chamber) 내에 저압 환경을 유지시키도록 구성된 처리 챔버; 상기 부분적으로 제조된 반도체 기판을 고정하고 상기 부분적으로 제조된 반도체 기판의 온도를 대략 500℃ 내지 600℃에 유지하기 위한 기판 지지부; 및 온도조절식 샤워헤드 조립체를 포함한다. 상기 온도조절식 샤워헤드 조립체는 추가로: 열전도 스템; 상기 열전도 스템에 부착된 후면판; 상기 후면판에 부착되고 상기 열전도 스템에 열 결합된 전면판; 상기 열전도 스템에 열 결합된 가열 부재; 상기 열전도 스템에 열 결합된 열교환기; 및 상기 전면판에 열 결합된 온도 센서를 포함한다. 온도조절식 샤워헤드는 상기 가열 부재와 상기 전면판 사이와 상기 열교환기와 상기 전면판 사이에 열전달 경로들을 제공함으로써 상기 전면판의 온도를 미리 결정된 범위 내에 유지시키도록 구성된다. 상기 온도조절식 샤워헤드의 전면판은 상기 기판 지지부로부터 대략 0.7인치 내에 위치될 수 있다. 상기 온도조절식 샤워헤드는 상기 기판 지지부가 대략 500℃ 내지 550℃에 유지되는 동안 상기 전면판의 온도를 대략 200℃ 내지 300℃에 유지시키도록 구성될 수 있다. 또한, 특정 구체예들에서, 화학적 증기 증착(CVD) 시스템은 인-시튜(in-situ) 플라즈마 제너레이터를 포함한다.
특정 구체예들에서, 화학적 증기 증착(CVD) 시스템은 단일-스테이션 증착 시스템이다. 그 외의 다른 구체예들에서, 화학적 증기 증착(CVD) 시스템은 제 2 기판 지지부를 포함한다. 기판 지지부와 제 2 기판 지지부는 동일한 처리 챔버 내측에 위치되며 똑같은 환경에 노출되도록 구성될 수 있다. 그 외의 다른 구체예들에서, 화학적 증기 증착(CVD) 시스템은 상이한 환경에 유지시키도록 구성된 제 2 처리 챔버를 포함한다. 상기 기판 지지부와 제 2 기판 지지부는 상이한 처리 챔버(예를 들어, 제 1 처리 챔버 및 제 2 처리 챔버) 내에 위치될 수 있다.
도 1은 시간에 걸친 네 개의 스테이션 챔버 내의 샤워헤드 온도를 도시한 그래프이다.
도 2a는 다양한 샤워헤드 온도에서 증착된 실리콘 니트라이드 스페이서 두께를 도시한 그래프이다.
도 2b는 다양한 샤워헤드 온도에서 증착된 실리콘 니트라이드 스페이서를 위한 필름 응력을 도시한 그래프이다.
도 3a는 본 발명의 특정 구체예들에 따른 온도조절식 샤워헤드를 도시한 횡단면도이다.
도 3b는 본 발명의 특정 구체예들에 따른 온도조절식 샤워헤드를 도시한 상부도이다.
도 4a는 본 발명의 특정 구체예들에 따른 전면판을 도시한 횡단면도이다.
도 4b는 본 발명의 특정 구체예들에 따른 전면판을 도시한 바닥도이다.
도 5는 본 발명의 특정 구체예들에 따른 온도조절식 샤워헤드 조립체를 도식적으로 도시한 도면이다.
도 6은 본 발명의 특정 구체예들에 따른 RF 노이즈를 감소시키거나 또는 제거하도록 사용된 RF 필터의 한 구체예를 도식적으로 도시한 도면이다.
도 7은 본 발명의 특정 구체예들에 따른 시스템을 도식적으로 도시한 도면이다.
다음의 내용에서, 본 발명을 완전하게 이해하기 위해 다수의 특정 세부사항들이 설명된다. 본 발명은 이 특정 세부사항들 중 몇몇 세부사항이 없거나 또는 이 세부사항들이 모두 없어도 실시될 수 있다. 그 외의 경우에서, 매우 잘 알려진 공정 작업들은 본 발명을 불필요하게 모호하게 하지 않게 하기 위하여 상세하게 기술되지 않았다. 본 발명이 특정 구체예들에 대해 기술될 것이지만, 본 발명이 상기 구체예들에만 제한되지 않는다는 것을 이해해야 할 것이다.
본 출원에서, 용어 "기판(substrate)" 및 "웨이퍼(wafer)"는 상호교환하여 사용될 것이다. 하기의 세부 사항들에서는 본 발명이 반도체 처리 기기에 실시되는 것임을 가정한다. 하지만, 본 발명은 여기에만 제한되지는 않는다. 본 장치는 다양한 형태, 크기 및 재료의 가공 부품들을 처리하도록 사용될 수 있다. 반도체 웨이퍼 외에도, 본 발명을 이용할 수 있는 그 외의 다른 가공 부품들은 디스플레이 페이스 평면 인쇄 회로 기판 및 이와 유사한 것과 같은 다양한 물품들을 포함한다.
샤워헤드의 온도는 시간에 걸쳐 변하고 증착 반응 속도 및 필름 특성에 영향을 끼친다. 도 1은 온도 조절 없이 즉 샤워헤드에 가해지는 가열 또는 냉각 없이 50개의 웨이퍼에 걸쳐 네 개의 스테이션 증착 챔버 내의 네 개의 샤워헤드 온도를 도시한 그래프이다. 제 1 스테이션 샤워헤드 온도는 라인(102)에 해당한다. 제 2 스테이션 샤워헤드 온도는 라인(104)에 해당한다. 제 3 스테이션 샤워헤드 온도는 라인(106)에 해당한다. 마지막으로, 제 4 스테이션 샤워헤드 온도는 라인(108)에 해당한다. 시간에 걸쳐, 제 1, 제 2, 제 3 및 제 4 스테이션의 샤워헤드 온도들은 대략 3700초에서 정상상태 온도에 도달할 때까지 증가하였다. 챔버 내의 플라즈마 상태는 라인(110)으로 계단 함수로서 나타내어진다. 처음에, 플라즈마는 샤워헤드의 온도를 데우기 위해 더미 증착 모드(dummy deposition mode)에서 제공되었으며 대략 10분 후에 웨이퍼 처리가 시작되었다. 제 1 스테이션에서, 웨이퍼 처리가 시작된 후에 온도는 서서히 감소되기 시작했는데, 이는 웨이퍼가 공정 온도까지 온도가 올라감에 따라, 해당 스테이션에서 각각의 유입 웨이퍼(샤워헤드보다 실질적으로 더 차가운 웨이퍼)가 챔버 구성부품(component)들을 냉각시키기 때문이며, 상기 챔버 구성부품에는 샤워헤드가 포함된다. 따라서, 그 이후의 온도 프로파일들은 점차 더 높아진다. 제 2 스테이션 샤워헤드는 제 3 스테이션 샤워헤드보다 더 차가웠는데, 이는 제 2 스테이션으로 유입된 웨이퍼가 제 3 스테이션으로 유입된 웨이퍼보다 더 차갑기 때문이다. 모든 스테이션에 대해, 샤워헤드 온도는 일정 시간 후에 균형 온도에 도달하였다.
도 1은 다중-스테이션 챔버 내에서 처리되는 기판이 서로 다른 스테이션에서 서로 다른 샤워헤드 온도를 보이는 것을 예시한다. 일반적인 단일의 스테이션 챔버에서도 똑같은 문제가 나타난다. 예를 들어, 샤워헤드 온도는 다수의 부계층(sub-layer)들이 해당 스테이션에서 증착되는 동안 변동될 수 있다. 증착 동안, 샤워헤드 내의 열전달은 페데스털(pedestal) 온도, 플라즈마 존재 여부 및 플라즈마 전력, 및 그 외의 다른 요인들에 의해 영향을 받는 동적 프로세스(dynamic process)이다. 효율적으로 조절되지 않으면, 샤워헤드는 실질적으로 온도 편차를 겪게 된다. 샤워헤드 온도가 증착된 필름 특성에 영향을 끼치는 상황에서, 서로 다른 샤워헤드를 사용하여 동일한 웨이퍼 상에 증착된 각각의 층은 서로 다른 특성을 가질 수 있다. 변동적인 샤워헤드 온도에 특히 민감한 한 CVD 공정 예는 실리콘 니트라이드 스페이서(spacer)의 증착이다. 이러한 예들 중 또 다른 예는 테트라에틸오르소실리케이트(TEOS) 전구물질을 사용하는 증착이다.
필름 특성들의 차이로 인해 나타나는 서로 다른 샤워헤드 온도가 하기 두 예에서 예시된다. 도 2a는 서로 다른 샤워헤드 온도에서 증착된 필름 두께 그래프를 보여준다. 그 외의 모든 공정 변수들이 일정한 상태로 유지되면, 더 두꺼운 필름에서 샤워헤드 온도가 더 높다. 따라서, 웨이퍼 구동 시의 초반부에서(예를 들어, 일정 기간의 공전 시간(idle time) 또는 챔버 세척 후에 및 샤워헤드가 여전히 상대적으로 차가울 때) 증착된 층은 공정이 정상상태에 도달하고 난 뒤 증착된 층보다 더 얇을 것이다. 도 2b는 실리콘 니트라이드 스페이서 필름의 응력에 대한 샤워헤드 온도 결과를 예시한다. 샤워헤드 온도가 올라감에 따라, 응력 레벨이 감소한다. 응력 레벨에 있어서의 변화는 장치(예컨대, 트랜지스터) 성능에 부정적인 영향을 끼칠 수 있다.
온도조절식 샤워헤드는 단일-스테이션 및 다중-스테이션 기기에서 개별 부계층 및 다량의 필름에 있어서 기판 대 기판 균일성을 개선시키고, (예를 들어 온도를 안정시키기 위해) 처리되지 않은 지연요인(delay)를 제거함으로써 효율을 증가시키며, 상대적으로 낮은 온도에서 샤워헤드를 작동함으로써 입자 오염을 줄이고, 다양한 필름 특성들을 더 우수하게 조절할 수 있게 한다. 특정 구성에서, 더 정밀하게 조절함으로써 챔버 내에서 다수의 샤워헤드가 실질적으로 비슷한 공정 변수들을 사용하여 작동되고 목표 변수들에 근사하게 된다. 그 결과, 서로 다른 부계층의 필름 특성들이 더 잘 조절된다.
온도 조절을 개선시키고 더 알맞은 열 경로(heat path)를 제공하는 것은 샤워헤드의 열 주기(thermal cycling)를 줄이도록 사용될 수 있다. 달리 말하면, 샤워헤드 온도는 증착 단계, 공전(idling) 단계, 및/또는 세척 단계 동안 비교할 만한 레벨에 유지될 수 있다. 열 주기의 감소는 (온도 램프-업 기간 및 안정화 기간을 최소화시키거나 또는 제거함으로써) 공정 효율을 증가시키며 샤워헤드의 표면에 있는 증착물의 단편들이 벗겨져 떨어짐으로써 야기되는 입자 오염을 줄이도록 도와준다. 샤워헤드의 표면에 있는 증착물들은 샤워헤드 재료와 다른 열팽창계수를 가지며 이에 따라 열 주기 동안 증착물의 단편들이 벗겨져 떨어진다.
샤워헤드의 작동 온도를 낮춤으로써 입자 오염도 줄어들 수 있다. 기판이 샤워헤드에 가까이에서 대략 400℃ 내지 600℃에서 처리된다 하더라도, 열분산과 결부된 열전달은 샤워헤드의 전면판을 대략 200℃ 내지 300℃에 유지시킬 수 있게 한다. 이 특허출원의 목적을 위해, 그 외에 달리 기술되지 않는 한, 전면판을 위해 샤워헤드 온도가 비교된다는 것을 유의해야 한다. 전면판으로부터 후면판과 스템을 통해 열교환기로 열이 전달됨으로써, 후면판으로부터 복사됨으로써, 및/또는 이들의 조합에 의해 열이 제거된다. 입자 오염이 줄어드는 외에도, 특정 CVD 공정들은 특정 필름 특성들을 구현하기 위해 상대적으로 낮은 샤워헤드 온도를 필요로 한다. 예를 들어, 더 낮은 샤워헤드 온도는 도 2b에서 볼 수 있듯이 특정 필름들에서 응력 레벨들을 개선시킨다.
일반적으로, 두 가지 주된 CDV 샤워헤드 타입: 샹들리에 타입과 플러시 마운트(flush mount) 타입이 있다. 샹들리에 타입의 샤워헤드는 한 단부에서 챔버의 상부에 부착된 스템을 가지고 다른 단부에서는 전면판을 가진다. 상기 스템의 일부분은 가스 라인과 RF 전력에 연결되기 위해 챔버의 상부로부터 돌출할 수 있다. 플러시 마운트 타입의 샤워헤드는 챔버의 상부 내에 일체 구성되고 통상 스템을 가지지 않는다. 이 특허출원은 일반적으로 샹들리에 타입의 샤워헤드에 대해 기술하고 있지만, 일부 특징들은 플러시 마운트 타입의 샤워헤드에도 사용될 수 있음을 이해해야 하며, 당업자가 본 명세서에서 제공된 상세한 설명을 쉽게 이해할 수 있음을 이해할 것이다.
열이 가해지거나 또는 제거될 때 샤워헤드 온도가 변한다. 이 열 중 일부는 예를 들어 현재의 나타난 온도 및 설정점에 따라 조절가능한 방식으로 가해지거나 또는 제거된다. 밑에서 추가로 기술된 샤워헤드의 다양한 구성부품들은 이러한 조절 공정을 가능하게 한다. 하지만, 일부 열은 주위 상태에서의 변화로 인해 전달되고 이 열전달은 안정적인 온도를 유지하기 위해 상쇄되어야 한다. 예를 들어, 하전 입자들이 샤워헤드와 충돌하기 때문에 플라즈마가 작동될 때 샤워헤드에 열이 가해진다. 또한, 샤워헤드는 처리 중인 웨이퍼 또는 페데스털과 같은 그 외의 주위 구성부품들에 의해 열이 가해질 수 있다. 차가운 재료들, 가령, 예를 들어, 샤워헤드를 통해 공급된 반응 가스들 또는 로드-락(load-lock) 혹은 또 다른 차가운 스테이션으로부터 유입된 기판이 챔버 내로 유입될 때 샤워헤드는 열을 손실한다. 또한, 그 외의 다른 챔버 구성부품들로(예를 들어, 샤워헤드 스템 재료를 통해 챔버의 천장으로)의 열전도와 복사(예를 들어, 후면판으로부터의 복사)로 인해 열이 손실된다.
도 3a는 본 발명의 특정 구체예들에 따른 온도조절식 샤워헤드(300)를 도식적으로 도시한 횡단면도이다. 상기 샤워헤드(300)는 도 5에서 추가로 기술된 샤워헤드 조립체의 일부분일 수 있으며 도 7에서 추가로 기술된 증착 시스템의 일부분일 수 있다. 상기 샤워헤드(300)는 열전도 스템(304), 후면판(306), 및 전면판(308)을 포함한다. 상기 스템(304)과 후면판(306)은 개별적인 기계 구성부품일 수 있거나 또는 단일 본체에 일체형으로 구성될 수 있다. 이와 비슷하게, 후면판(306)과 전면판(308)도 개별적인 기계 구성부품일 수 있거나 또는 단일 본체에 일체형으로 구성될 수 있다. 예를 들어, 이 구성부품들 중 두 개 또는 그 이상의 구성부품들은 상기 구성부품들이 쉽게 분리될 수 없도록 제작 후에 함께 부착(예를 들어, 용접, 압연, 함께 용화(fused))될 수 있거나 또는 단일 재료 블록으로부터 함께 제조될 수 있다. 제작 후에 함께 부착되는 방식은 일체형으로 구성된 구성부품들 간에 열이 더 잘 전달될 수 있다. 하지만, 하나 또는 그 이상의 구성부품들이 주기적으로 변경될 필요가 있는 구체예들에서는 제거가능하게 부착된 구성부품들이 바람직할 수 있다. 예를 들어, 전면판(308)이 후면판(306)에 제거가능하게 부착될 수 있으며 이에 따라 매니폴드 영역(316)에 제공될 수 있게 하여 마모가 된 경우에 전면판(308)을 교체하거나 또는 상이한 분포 패턴(예를 들어, 바닥 표면 상에 있는 홀 패턴)을 가진 또 다른 전면판으로 전면판(308)을 교체할 수 있다(이 분포 패턴은 도 4b에서 도시됨). 이와 유사하게, 후면판(306)도 전면판(308) 및/또는 스템(304)에 제거가능하게 부착될 수 있다. 특정 구체예들에서, 후면판(306)은 상이한 열전달 성질들을 가진 또 다른 후면판으로 교체될 수 있다.
특정 구체예들에서, 스템(304)은 평균 직경(도 3a에서 D스템으로 표시됨)이 대략 2인치 내지 4인치 또는, 보다 구체적으로는 대략 2.5인치 내지 3.5인치 또는, 심지어 더 구체적으로는 대략 2.75인치 내지 3.25인치인 원통 형태를 가진다. 이 특허출원에서 나타난 수치들은, 그 외에 달리 기술되지 않는 한, 처리 중인 300mm 웨이퍼용으로 구성된 샤워헤드에 해당함을 유의해야 한다. 그 외에 다른 수치들도 상이한 기판 타입과 크기(예를 들어 200mm 웨이퍼, 450mm 웨이퍼 등)들을 처리하도록 구성된 샤워헤드 용으로 사용될 수 있음을 이해해야 한다.
스템(304)을 특징짓는 또 다른 방법은 스템의 중실 횡단면적(즉 임의의 개구부들을 포함하지 않는 재료의 횡단면적)에 따르는 것이다. 특정 구체예들에서, 이러한 횡단면적은 평균적으로 대략 3인치2 내지 10인치2 또는, 보다 구체적으로는 대략 4인치2 내지 8인치2이다. 스템(304)의 높이(도 3a에서 H스템으로 표시됨)는 평균적으로 대략 1인치 내지 5인치 또는, 보다 구체적으로는 대략 2인치 내지 4인치 또는, 심지어 더 구체적으로는 대략 2.5인치 내지 3.5인치일 수 있다.
하지만, 스템의 길이는, 예를 들어, 도 5에서 논의된 것처럼 스템에 열 결합된 열교환기까지의 거리에 비해 열 분석(thermal analysis) 용도로 별로 상관없을 수 있다. 또한, 공정 가스 공급 개구부(310), 온도 센서 개구부(312), 및 가열 부재 개구부(314)와 같이, 스템에 제공된 임의의 특징부들은 스템의 열전도율이 분석될 때 설명되어야 한다. 그 외에 다른 열 분석 고려사항들에는 스템 구성용으로 사용된 재료들의 열특성(예를 들어, 열전도율, 열용량), 온도 구배, 그 외의 부재들에 대한 열 결합부(예를 들어, 열교환기, 후면판), 및 그 외의 다른 것들이 포함된다.
후면판(306)도 원통 형태를 가질 수 있다. 특정 구체예들에서, 후면판(306)은 도 3a와 도 3b에 도시된 것과 같이 디스크들의 스택(stack)으로서 특징지을 수 있다. 예를 들어, 스택은 2개, 3개, 4개, 5개 또는 그 이상의 디스크들을 포함할 수 있다. 특정 구체예들에서, 후면판은 3개의 디스크를 가진 스택이다. 보통 스택의 바닥에 위치되며 전면판(308)과 접촉하고 있는, 최대 디스크는 직경이 대략 12인치 내지 14인치이며 두께가 대략 0.5인치 내지 1인치일 수 있다(이 직경과 두께는 도면에서 도시되지 않음). 또 다른 디스크는 상기 최대 디스크보다 대략 0.5인치 내지 1.5인치 더 작은 직경(도 3b에서 D2후면판으로 표시됨)을 가질 수 있으며 대략 0.5인치 내지 1인치의 두께(도 3a에서 H2후면판으로 표시됨)를 가질 수 있다. 또 다른 실린더는 최대 실린더보다 대략 2.0인치 내지 4.0인치 더 작은 직경(도 3b에서 D1후면판으로 표시됨)을 가질 수 있으며 대략 1.0인치 내지 2.0인치의 두께(도 3a에서 H1후면판으로 표시됨)를 가질 수 있다.
일반적으로, 위에서 기술된 다양한 디스크 스택들은 단일의 일체형 본체(예를 들어, 단일의 재료 블록으로부터 제작되거나 혹은 용접, 압연, 용화, 또는 그 외의 다른 공법들을 사용하여 영구적으로 함께 부착된 본체)를 형성한다. 특정의 구체예들에서, 각각의 디스크는 동일한 크기 또는 상이한 크기를 가진 그 외의 다른 디스크들이 모듈 방식으로 후면판을 형성하는 함께 쌓일 수 있는 개별적인 구성부품일 수 있다. 이 디스크들의 크기와 개수는 열전달 요구사항들에 따라 선택될 수 있으며 이와 다른 요구사항들을 위해 또 다른 스택 내로 재구성될 수 있다.
전면판(308)은 기판보다 약간 더 클 수 있으며, 예를 들어, 기판보다 대략 100% 내지 125% 클 수 있으며 또는 300mm 웨이퍼 샤워헤드 타입을 위해 대략 14인치 내지 16인치인 직경(도 3b에서 D전면판으로 표시됨)을 가질 수 있다.
전면판(308) 전체에 걸쳐 열 균일성을 유지하며 후면판(306)으로부터 열을 전달하고 후면판(306)으로 열을 전달하기 위하여, 상기 전면판(308)은 0.5인치 이상 또는, 보다 구체적으로는 대략 0.5인치 내지 1인치 또는, 심지어 더 구체적으로는 대략 0.7인치 내지 0.8인치인 두께(도 3a에서 T전면판으로 표시됨)를 가질 수 있다. 또한, 전면판(308)과 후면판(306)의 조합은, 이 특정 구체예들에서, 대략 10인치2 내지 30인치2 또는, 보다 구체적으로는 대략 15인치2 내지 25인치2인 접촉 면적에 의해 특징지을 수 있다. 도 3a 및 도 3b에 도시된 것과 같이, 상기 접촉 면적은 대략 12인치 내지 16인치의 직경과 대략 0.25인치 내지 1인치의 횡단면을 가진 링(ring)으로서 형성될 수 있다.
위에서 기술된 샤워헤드 구성부품들은 통상적인 CVD 챔버 환경(예를 들어 플루오라이드계 화학물질, 플라즈마) 및 상태(예를 들어 최대 대략 600℃의 온도)에서 사용될 수 있는 재료들로 제작될 수 있다. 이 재료들의 예에는 알루미늄(예를 들어, 등급 6061-T6, 3003-O, 3003-H12), 스테인리스 스틸, 및 세라믹(예를 들어 알루미나)이 포함된다.
가스 공급 채널(310)을 통해 스템(304) 내에 공정 가스들이 유입되며 후면판(306)과 전면판(308) 사이의 매니폴드 영역(316)으로 유입되기 전에 후면판(306)을 통해 흐른다. 상기 매니폴드 영역(316)은 전체 매니폴드 영역(316)에 걸쳐 공정 가스를 균일하게 분포시키기 위해 배플(도시되지 않음)을 포함할 수 있다. 후면판(306)과 전면판(308) 사이의 간격은 평균적으로 대략 0.25인치 내지 1인치일 수 있다.
매니폴드 영역(316) 내에서 균일한 가스 흐름을 유지하기 위하여, 상기 간격은 다양한 위치에서, 가령, 예를 들어, 3개, 6개, 또는 최대 10개 위치에서 다수의 세퍼레이터/스페이서들로 일정하게 유지될 수 있다. 특정 구체예들에서, 도 4a에 도시된 것과 같이, 세퍼레이터/스페이서(402)들은 전면판(308)의 일부분이다. 후면판(306)은 용접 또는 브레이징(brazing)을 이용하여 세퍼레이터/스페이서(402)들을 통해 전면판(308)에 부착될 수 있다. 대안으로, 후면판(306)은 세퍼레이터/스페이서들을 통해 스레드구성된 블라인드 홀(도시되지 않음)에서 전면판(308)에 조여질 수 있다. 그 외의 다른 구체예들에서, 다양한 형태들을 가진 스페이서 또는 내부 스레드를 가지거나 또는 내부 스레드가 없는 부싱(bushing)들이 사용될 수 있다. 나사가 후면판(306) 내로 삽입되고 전면판(308) 내로 스레드구성되도록 기술되었지만, 반대 구성(전면판(308)을 통해 삽입되고 후면판(306) 내로 스레드구성되는 것)도 사용될 수 있다.
도 3a와 도 3b에서 보면, 스템(304)도 온도 센서를 삽입하기 위한 개구부 즉 온도 센서 보호관(thermo-well)을 포함할 수 있다. 이 개구부(312)는 매니폴드 영역(316)으로부터 멀리 떨어져 밀봉될 수 있으며 공정 가스들이 상기 개구부(312)를 통해 빠져나가는 것이 방지된다. 상기 개구부(312)는 샤워헤드(300)를 해체하지 않고도 온도 센서를 교체할 수 있게 한다. 특정 구체예들에서, 온도 센서는 샤워헤드(300)가 샤워헤드 작동 압력 상태로 유지되는 증착 챔버에 부착되는 동안 교체될 수 있다.
온도 센서 개구부(312)는 예시된 센서(도 5에 도시된 부재(506); 도 3a와 도 3b에서는 도시되지 않음)가 전면판(308)과 열 결합되도록 구성된다. 예를 들어, 후면판(306)은 예를 들어, 샤워헤드(300)의 주변 주위에 있는 접촉 영역을 통해서라기 보다는 전면판(308)과 좀 더 직접적으로 접촉하고 있는 매니폴드 영역(316) 내로 연장되는 특징을 가질 수 있다. 이 구성에서, 온도 센서는 전면판의 현태 온도에 대해 신속한 반응성을 제공하고 샤워헤드를 통해 열 플럭스를 확대할 수 있게 한다.
또한 스템(304)은 가열 부재들을 삽입하기 위한 하나 또는 그 이상의 개구부 즉 도 3a와 도 3b에 예시된 것과 같은 가열 부재 개구부(314)를 가질 수 있다. 이가열 부재 개구부(314)는 매니폴드 영역(316)으로부터 밀봉된다. 가열 부재(도 5에 도시된 부재(504); 도 3a와 도 3b에는 도시되지 않음)가 상기 개구부(314) 내에 장착될 때, 상기 가열 부재는 스템(304) 및/또는 후면판(306)과 열 결합된다. 상기 가열 부재를 전면판(308)에 열 결합시키는 것은 스템(304)과 후면판(306)을 통해서 이루어진다. 특정 구체예들에서, 가열 부재 개구부(314)는 카트리지 히터를 수용하도록 구성된다. 예를 들어, 개구부(314)는 대략 0.25인치 내지 0.5인치의 또는, 보다 구체적으로는 대략 0.35인치 내지 0.4인치 내지의 직경을 가질 수 있으며 대략 3인치 및 7인치 또는, 보다 구체적으로는 대략 4인치 내지 6인치의 깊이를 가질 수 있다.
도 4b는 본 발명의 특정 구체예들에 따른 전면판(308)의 바닥도를 예시한다. 전면판(308)은 매니폴드 영역(316)으로부터 증착 챔버 내로 공정 가스들을 균일하게 분포시키기 위해 복수의 홀 또는 천공(406)들을 가진다. 이러한 홀들의 특정 예가 도 4b에 도시된다. 예를 들어, 이 홀들을 통해 기계가공되고, 밀링가공되거나 또는 드릴링가공된다. 각각의 홀은 직경이 대략 0.01인치 내지 0.25인치 또는, 보다 구체적으로는 대략 0.02인치 내지 0.1인치일 수 있다. 특정 구체예들에서, 홀(406)들의 직경은 대략 0.04인치이다. 일부 홀들은 그 외의 다른 홀들과 상이한 크기를 가질 수 있다. 예를 들어, 홀의 크기는 가스 공급 라인(310)으로부터 더 멀리 떨어질수록 증가될 수 있다. 홀들의 개수는 대략 100개 내지 10,000개, 또는 보다 구체적으로는 대략 1,000개 내지 5,000개일 수 있다. 특정 구체예들에서, 홀들의 개수는 대략 3,750개 내지 4,000개이다. 이 홀들은 다양한 패턴으로, 가령, 예를 들어, 허니콤 패턴으로 또는 점점 커지는 원형 패턴으로 전면판(308) 전체에 걸쳐 균일하게 분포될 수 있다. 이러한 패턴을 구현하는 다수의 원들은 대략 5개 내지 50개의 원 또는, 보다 구체적으로 대략 20개 내지 40개 원 또는, 심지어 더 구체적으로는 대략 25개 내지 30개 원 일 수 있다. 예를 들어, 하나의 홀이 중앙에 있을 수 있으며, 상기 중앙에 있는 홀로부터 동일한 거리에 위치된 6 내지 15개의 홀들이 있으며(즉 원형 패턴), 또한 상기 중앙 홀로부터 동일한 거리에 위치된 또 다른 12 내지 30개의 홀들이 있으나, 이 두 번째 거리는 첫 번째 거리의 2배가 되고, 이런 식으로 계속된다. 특정 구체예들에서, 상기 첫 번째 거리는 대략 0.20인치 내지 0.30인치이다. 또한, 홀(406)들은, 전면판의 중간에서보다 가장자리 주위로 더 촘촘하게 밀집되거나 그 반대인 경우와 같이, 균일하지 않게 분포된 다양한 패턴들을 형성할 수 있다. 일반적으로, 전면판(308)에서의 홀(406)들이 분포되는 것은 원하는 필름 균일성, 필름 프로파일, 및 공정 가스 변수(예컨대, 점성, 유속)들과 같은 다양한 요인들에 따른다.
몇몇 구체예들에서, 전면판(308)은 전면판(308)이 수명이 끝남으로 인해 또는 새로운 홀 패턴을 제공하기 위해 변경될 수 있도록 후면판(306)에 제거가능하게 부착된다. 전면판(308)의 후방 표면은 후면판(306)에 부착되고 후면판(306)으로부터 떨어지는 짝 특징부들을 포함할 수 있다. 예를 들어, 한 적절한 짝 특징부는 홈 및 스레드구성된 블라인드 홀들일 수 있다. 이 예에 따르면, 상기 홈은 후면판(306) 사이에서 해당 립(lip) 상으로 맞물릴 수 있다. 전면판(308) 또는 후면판(306) 위에 있는 나사 홀들은 주변 방향으로 위치되며 짝을 이루는 판 위에 있는 홀들에 꼭 들어맞는다. 나사가 후면판(306)과 전면판(308)에 함께 부착된다. 주변 방향으로 위치된 나사들의 개수는 대략 4개 이상, 대략 10개 이상, 대략 24개 이상, 또는 대략 50개 이상일 수 있다. 전면판(308)과 후면판(306)을 위한 그 외의 짝 특징부들이 사용될 수 있다. 예를 들어, 그 외의 다른 고정 메커니즘에는 스트랩 또는 클립 또는 간단한 마찰력 기반의 결합장치가 포함될 수 있으며 상기 결합장치는 전면판(308)의 수치들이 후면판(306)에 있는 그에 상응하는 리셉터클(receptacle)의 수치들에 근접하게 들어맞는 경우에 사용될 수 있다. 전면판을 후면판에 부착하는 것에 대한 또 다른 세부사항들은 2008년 7월 29일에 출원된 미국특허출원번호 12/181,927호에 기술되어 있는데, 이 특허출원은 전면판 부착을 기술하기 위해 본 명세서에서 참조문헌으로 통합된다. 그 외의 다른 특정 구체예들에서, 전면판(308)은 후면판(306)으로부터 제거되지 않는다. 예를 들어, 두 개의 부재들은 동일한 재료 블록으로 제작될 수 있거나 또는 제작 후에 함께 일체형으로 구성된다(예를 들어, 용접, 용화, 압연으로). 전면판(308)과 후면판(306) 사이의 영구적인 부착은 두 구성부품들 사이에서 향상된 열전달을 제공할 수 있다.
도 5는 본 발명의 특정 구체예들에 따른 온도조절식 샤워헤드 조립체(500)를 예시한다. 위에서 기술된 것과 같이 전면판(308), 후면판(306), 스템(304)을 포함하는 샤워헤드 외에도, 상기 샤워헤드 조립체(500)는 가열 부재(504), 열교환기(502), 및 온도 센서(506)를 포함할 수 있다. 이 부재들 중 어떠한 부재도 제거가능하다.
후면판(306) 및/또는 스템(304)에 가열 부재(504)가 열 결합된다. 특정 구체예들에서, 가열 부재(504)는 스템의 가열 부재 개구부(들) 내에 위치된 하나 또는 그 이상의 카트리지 히터들이다. 예를 들어, 두 카트리지 히터들은 대략 250W 내지 2,500W 또는, 보다 구체적으로는 대략 500W 내지 1,500W의 조합된 전력 출력으로 사용될 수 있다. 특정 구체예들에서, 가열 부재는 예를 들어 EMI/RFI 필터 또는 그 외의 다른 상용 RF 절연 장치를 사용하는, RF 절연체를 포함한다.
도 5에 도시된 것과 같이, 써모커플(506)이 스템(304) 내에 있는 개구부를 통해 삽입될 수 있으며 후면판(306)을 통해 연장된다. 특정 구체예들에서, 정체 온도 센서 개구부(312)는 동일한 재료 블록으로부터 기계가공된다. 몇몇 구체예에서 용접되거나 또는 그 외의 경우 일체 구성된 써모커플 관(well)의 개별 부분들은 샤워헤드의 열 주기 동안 파열되기 쉬우며 이에 따라 써모커플의 진공 누수 및/또는 오작동될 수 있고, 시스템의 열 폭주(thermal runaway)할 가능성이 있는 것으로 밝혀졌다. 써모커플(506)은 직경이 평균적으로 대략 0.05인치 내지 0.25인치 또는, 보다 구체적으로는 대략 0.10인치 내지 0.20인치일 수 있다. 써모커플의 길이는 스템(304)과 후면판(306)의 디자인에 의해 결정되며 통상 써모커플이 개구부(312)의 바닥 끝까지 연장될 수 있게 한다(도 3a 참조). 특정 구체예들에서, 써모커플(506)은 길이가 대략 4인치 내지 8인치 또는, 보다 구체적으로는 대략 6인치 내지 7인치일 수 있다. 써모커플(506) 대신 사용될 수 있는 온도 센싱 장치의 또 다른 예는 비-접촉식 온도 센서(예를 들어, 고온측정(pyrometry), 형광-계 온도측정(fluorescence-based thermometry) 또는 적외선 온도측정(infrared thermometry) 방식의)이다.
또한 써모커플(506)은 절연될 수 있고 RF로부터 격리될 수 있다. RF 격리는 한 주파수에서 RF 트랩(trap)을 작동시키고 또 다른 주파수에서 RF 필터를 작동시킴으로써 구현될 수 있다. 통상, PECVD 작업에 가해진 RF는 두 주파수 성분, 고주파(예컨대, 13.56MHz) 트랩 및 저주파(예컨대, 400kHz) 트랩을 가진다. RF 격리 장치는 하나 또는 그 이상의 필터를 포함할 수 있다. 한 구체예에서, RF 격리 장치는 고주파 필터와 저주파 필터를 포함한다. RF 격리가 없으면, 플라즈마 제너레이터로부터 나온 RF 간섭이 너무 크기 때문에 써모커플 측정이 유용하지 않다고 믿어진다.
RF 격리 장치의 가능한 형상을 도식적으로 그린 도면이 도 6에 예시된다. 써모커플(506/601)은 스테인리스 스틸 쉬쓰(sheath)에 의해 둘러싸인다. 이 스테인리스 스틸 쉬쓰는 커패시터(605)에 병렬인 코일(603)에 감겨진다. 이 코일은 인덕터로서 작용하며 상기 커패시터는 13.56MHz 신호를 차단하는 탱크 회로(tank circuit)를 형성한다. 상기 코일은 대략 1 마이크로헨리의 인덕턴스를 가질 수 있으며 커패시터(605)는 대략 85pf(피코패럿)의 커패시턴스를 가질 수 있다. 잔여 13.56MHz RF는 제 2 커패시터(607)를 사용하여 지면(609)으로 단락되며, 상기 제 2 커패시터(607)는 대략 10000pf의 커패시턴스를 가질 수 있다. 또한 쉬쓰를 사용하여 고주파수를 트랩핑(trapping) 하면, 쉬쓰 내에 매립된 써모커플 전선에서 RF를 차단한다. 400kHz 주파수는 603/605 필터에 의해 차단되지 않으며 저주파수로 인해 커패시터(607)에 의해 지면으로 단락되지 않는다. 따라서 13.56MHz 필터의 단부에 여전히 400kHz 노이즈가 존재하며 이후 이 노이즈는 저주파 필터(611)에 의해 걸러진다. 한 디자인에서, 저주파 필터는 2-단계 로우 패스 필터일 수 있다. 이 두 단계는 고주파 필터와 유사한 LC 디자인일 수 있다. 저주파 필터는 써모커플 전선들에 직접 연결될 수 있지만, 고주파 필터는 오직 쉬쓰에만 연결될 수 있다는 점을 유의해라.
도 5를 보면, 전면판(308)은 페데스털과 기판보다 실질적으로 더 낮은 온도에 유지시키기 위해, 종종 전면판(308)으로부터 일부 열이 제거될 필요가 있다. 스템(304)과 후면판(306)을 통해 열교환기(502)와 전면판(308) 사이에 열 경로가 제공된다. 열교환기(502)는 스템(304)으로부터 열을 제거하거나 또는, 특정 구체예들에서, 열을 스템(304)으로 전달하도록 구성된다. 또한, 복사로 인해 스템과 후면판의 노출된 표면드로부터 일부 열이 제거될 수 있다. 이제, 이들 각각의 열 제거 특징들이 상세하게 논의될 것이다.
열교환기(502)는 두 구성부품들이 열 결합되도록 스템(304) 상에 위치될 수 있다. 예를 들어, 열교환기(502)와 스템(304)은 대략 20cm2 내지 28cm2의 접촉 영역을 가질 수 있다(예를 들어, 스템 주위에 슬리브, 또는 스템의 상부에 장착 표면을 형성하는 열교환기). 열교환기(502)는 증착 챔버의 환경 또는 시스템의 그 외의 다른 구성부품들에 영향을 주지 않고도 스템으로부터 용이하게 제거될 수 있다.
열교환기(502) 내의 온도는 열교환기(502)를 통해 냉각 유체를 순환시킴으로써 조절될 수 있다. 냉각 유체의 예에는 물, 부동액, 및 다양한 냉각 가스(예를 들어, 청정 건조 공기(CDA), 아르곤, 헬륨, 질소, 수소, 또는 이들의 조합물)들이 포함된다. 특정 구체예들에서, 냉각 유체는 분당 대략 0.5갤런(gallons per minute; GPM) 이상의 유속에서 대략 15℃ 내지 30℃로 열교환기 내로 공급되는 물이다. 유속과 냉각 유체의 온도는 열교환기(502)와 스템(304) 사이에서 열 플럭스를 조절하도록 조정될 수 있음을 이해해야 한다. 특정 구체예들에서, 냉각 유체는 외부 냉각장치를 사용하여 추가로 냉각될 수 있거나 또는 외부 히터를 사용하여 추가로 가열될 수 있다. 또한, 열교환기(502) 내로 유입되는 냉각 유체의 유속을 조절하는 밸브(510)는 밑에서 기술한 것과 같이 흐름을 개방시키거나 또는 차단하도록 조절될 수 있다.
어떤 특정의 경우, 열교환기(502)는 샤워헤드 온도를 조절하도록 단독으로 사용될 수 있다(즉 스템 내에 가열 부재들이 제공된다). 예를 들어, 샤워헤드는 그 외의 다른 외부 부재(예를 들어, 기판)들로부터 가열될 수 있으며 열교환기는 오직 샤워헤드의 온도를 낮추도록만 사용된다. 그 외의 구체예들에서, 열교환기는 다양한 온도에서 순환 유체를 공급함으로써 가열시키고 냉각시키게끔 구성될 수 있다. 그 외의 구체예들에서, 샤워헤드 조립체는 위에서 기술한 것과 같이 하나 또는 그 이상의 가열 부재(504)를 포함한다.
열교환기에 의해 제공된 냉각 외에도, 열은 샤워헤드 표면들로부터 복사할 수 있다. 복사 냉각(radiative cooling)을 개선시키기 위하여, 스템 및/또는 샤워헤드의 외측 표면은 고방사율 재료(high emissivity material)를 사용하여 코팅될 수 있다. 예를 들어, 상기 코팅은 경질 알루미늄일 수 있다. 복사에너지는 일반적으로 샤워헤드 구성부품들보다 훨씬 더 차가운(예를 들어, 상온 근처) 챔버의 벽들에 의해 흡수된다. 챔버 상부도 복사 열전달을 증가시키기 위해 고방사율 재료를 사용하여 코팅될 수 있다. 챔버 상부의 내측 표면은 예를 들어 경질 알루미늄을 사용하여 코팅될 수 있다. 챔버 상부는 예를 들어 냉각수 라인들을 사용하여 독립적으로 냉각될 수 있다.
특정 구체예들에서, 샤워헤드 조립체(500)는 온도 컨트롤러(508)를 포함한다. 이 온도 컨트롤러(508)는 써모커플(506)로부터 온도 정보를 판독하도록 사용될 수 있으며 히터(504)로 전달되는 전력 및/또는 열교환기(502)를 통과하는 냉각 유체의 유속을 조절한다. 예를 들어, 상기 온도 컨트롤러(508)가 전면판(308)의 온도가 설정점(예를 들어, 증착 챔버가 작동 상태까지 오게 되는 지점)보다 실질적으로 더 낮은 것을 탐지하면, 밸브(510)를 셧 다운시킬 수 있으며(또는 특정 정도까지 닫을 수 있으며) 히터(504)에 공급된 전력을 증가시킬 수 있다.
또한 온도 컨트롤러(508)는 냉각 유체가 열교환기에 유입되고 및/또는 열교환기로부터 배출될 때 냉각 유체의 온도, 냉각 유체의 유속 및 그 외의 다른 공정 변수들을 측정하는 센서들에 연결될 수 있다. 예를 들어, 온도 컨트롤러(508)는 피드 포워드 정보(feed forward information)를 받을 수 있다. 이 피드 포워드 정보는 플라즈마가 작동될 때까지의 시간 기간일 수 있다. 몇몇 경우에서 피드 포워드 정보는 샤워헤드 내로 유입되는 가스 흐름 또는 냉간 웨이퍼(cold wafer)를 사용하여 가공하는 웨이퍼와 같이 샤워헤드 온도에 영향을 끼치는 그 외의 다른 예측가능한 이벤트(event)들을 포함할 수 있다. 예를 들어, 온도 컨트롤러(508)는 예를 들어 챔버 퍼지(chamber purge)와 같은 냉각 이벤트의 이전에(in anticipation) 히터 출력을 증가시킬 수 있거나, 또는 예를 들어 플라즈마 "온(on)"과 같은 가열 이벤트의 이전에 히터 출력을 감소시킬 수 있다. 또한 온도 컨트롤러(508)는 가열 이벤트 이전에 냉각 유체 흐름을 증가시킴으로써 냉각을 증가시킬 수 있거나 또는 냉각 이벤트 이전에 냉각 유체 흐름을 감소시킴으로써 냉각을 감소시킬 수 있다.
입력 및 출력 구성부품들의 다양한 조합들이 서로 다른 조절 계획에 사용될 수 있다. 예를 들어, 샤워헤드 온도를 정밀하게 조절하기 위하여 직접 가열(후면판에 있는 히터)과 함께 직접 냉각(냉각 유체 흐름을 조정)이 사용될 수 있다. 샤워헤드 온도는 전면판에 부착된 써모커플로부터 직접 측정될 수 있거나, 또는 기존 냉각 유체 온도로부터 간접적으로 결정될 수 있다. 몇몇 경우, 오직 직접 냉각 또는 오직 직접 가열이 컨트롤 시스템에 포함될 수 있다. 샤워헤드로부터 제거된 열을 정확하게 결정하기 위해 유입부에서 냉각 유체의 온도 탐지와 같은 그 외의 다른 입력들도 포함될 수 있다.
도 7은 본 발명의 특정 구체예들에 따른 증착 시스템(700)의 한 예를 예시한다. 이 증착 시스템(700)의 예는 미국 캘리포니아 산호세에 위치한 Novellus Systems, Inc.사가 제조한 VECTOR Express™ 시스템 및 VECTOR Extreme™ 시스템을 포함한다. 이 두 시스템들은 Ashable Hard Mask (AHM) 구성에서도 유용하다. 위에서 기술한 신규 샤워헤드는 인-시튜(in-situ) 플라즈마가 없는 CVD 시스템(예를 들어, 열 CDV, 리모트 플라즈마-향상 CVD) 및 인-시튜 플라즈마가 있는 CVD 시스템(예를 들어, PECVD, 마이크로웨이브 플라즈마-어시스트 CVD)에서 사용될 수 있음을 유의해야 한다. 간략하게, 도 7에서는 PECVD 예가 예시되며 밑에서 기술된다. 하지만, 본 발명은 이러한 CVD 타입에만 제한되지 않는 것을 유의해야 한다.
도시된 것과 같이, 상기 시스템(700)은 증착 시스템(700)의 그 외의 다른 구성부품들을 수용하며, 특정 구체예들에서, 플라즈마를 포함하도록 제공되는 처리 챔버(718)를 포함한다. 이 챔버(718)는 샤워헤드(714) 및 그 외의 다른 공정 가스 전달 하드웨어, 기판 페데스털(720), 및 센서(724)들을 포함한다. 선택적으로, 저주파 FR 제너레이터 및/또는 고주파 RF 제너레이터와 같은 인-시튜 플라즈마 제너레이터(716)가 샤워헤드(714) 및/또는 페데스털(720)에 연결될 수 있다. 예를 들어 증착을 위해 400 내지 8000W의 총 에너지 및 플라즈마 어닐링을 위해 높은 전력과 같이, 전력 및 주파수는 공정 가스로부터 플라즈마를 생성시키기에 충분하다. 특정 구체예들에서, 제너레이터는 증착 단계 동안에는 사용되지 않는다(예를 들어, 증착 단계는 "어두운(dark) 상태" 또는 비-플라즈마 상태에서 발생된다). 플라즈마 어닐링 단계 동안, 하나 또는 그 이상의 HF, MF 및 LF 제너레이터들이 사용될 수 있다. 예를 들어, 통상적인 공정에서, 고주파 RF 성분은 일반적으로 2 내지 60MHz이며, 바람직한 구체적인 예에서는 이 RF 성분은 13.56MHz이다.
처리 챔버(718) 내에서 페데스털(720)은 기판(721)을 지지한다. 페데스 털(720)은 통상 척(chuck)과 증착 단계 및/또는 플라즈마 처리 반응 간에서 그리고 이 단계들 동안 기판(721)을 올리고 내리기 위한 리프트 핀(lift pin)들을 포함한다. 이 척은 정전기성 척, 기계적 척, 진공 척 또는 산업계 및/또는 리서치 분야에서 사용하기에 유용한 것과 같은 그 외의 다른 다양한 타입의 척일 수 있다.
공정 가스들은 하나 또는 그 이상의 공정 가스 공급원(702)으로부터 샤워헤드(714)를 통해 챔버(718) 내로 유입된다. 이 공정 가스 공급원(702)은 밸브들과 질량 흐름 제어기(mass flow controller; MFC)들을 포함할 수 있다. 상기 공정 가스 공급원(702)은 공정 가스의 농도의 원하는 비율 또는 부분 압력들이 처리 챔버 내에서 구현되도록 시스템 컨트롤러(722)에 의해 조절될 수 있다. 반응 생성물들과 그 외의 다른 가스들은 배출부(726)를 통해 챔버(718)로부터 배출된다. 통상 진공 펌프(예를 들어, 1단 또는 2단 기계식 건조 펌프 및/또는 터보분자 펌프)가 공정 가스들을 빨아들여 스로틀 밸브 또는 진자 밸브와 같은 폐루프-조절식 흐름 제한 장치에 의해 처리 챔버 내에서 적절히 낮은 압력을 유지한다.
상기 챔버(718)는 페데스털과 기판(721)의 온도, 챔버 압력, 챔버 내부의 공정 가스들의 농도와 같은 다양한 공정 변수, 및 그 외의 다른 변수들을 탐지하기 위한 센서(724)를 포함할 수 있다. 이 센서(724)는 시스템 컨트롤러(722)에 탐지된 정보를 제공할 수 있다. 상기 센서(724)의 예들에는 잔여 가스 분석기, 압력 센서, 써모커플, 적외선 고온계(infrared pyrometer), 및 그 외의 다른 것들이 포함된다. 위에서 기술한 것과 같이 샤워헤드 내에 그 외의 다른 센서들이 포함될 수 있음을 유의해야 한다.
특정 구체예들에서, 공정 변수들을 조절하기 위하여 시스템 컨트롤러(722)가 사용된다. 이 시스템 컨트롤러(722)는 통상 하나 또는 그 이상의 메모리 장치 및 하나 또는 그 이상의 프로세서를 포함한다. 이 프로세서는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 연결부, 스테퍼 모터 컨트롤러 보드 등을 포함할 수 있다. 일반적으로 시스템 컨트롤러(722)와 결합된 유저 인터페이스(user interface)가 있을 것이다. 이 유저 인터페이스는 디스플레이 스크린, 기기 및/또는 프로세스 상태들을 보여주는 그래픽 소프트웨어 디스플레이, 및 포인터 장치, 키보드, 터치 스크린, 마이크로폰 등과 같은 유저 입력 장치들을 포함할 수 있다. 상기 시스템 컨트롤러(722)가 플라즈마 제너레이터(716)에 연결된 상태로 도시되었지만, 시스템 컨트롤러(722)의 배치와 연결상태는 특정 실시예에 따라 변경될 수 있다.
특정 구체예들에서, 시스템 컨트롤러(722)는 위에서 기술한 온도 컨트롤러(도 5에 도시된 부재(508))의 몇몇 기능 또는 모든 기능들을 포함한다. 예를 들어, 시스템 컨트롤러(722)는 전면판의 온도에 관한 정보를 모을 수 있고 열교환기를 통과하는 흐름 및/또는 히터 출력을 조절하도록 이 정보를 사용할 수 있다. 시스템 컨트롤러(722)는 온도, 가스 및 액체의 유속, 챔버 압력, 기판 온도, 다양한 작동 시간, 및 그 외의 특정 공정 변수들을 조절하기 위한 명령들을 포함하는 시스템 컨트롤 소프트웨어를 실행한다. 몇몇 구체예들에서 시스템 컨트롤러와 결합된 메모리 장치들에 저장된 그 외의 다른 컴퓨터 프로그램들이 실행될 수 있다.
공정 절차에서 공정들을 조절하기 위한 컴퓨터 프로그램 코드는 종래의 컴퓨터 판독 프로그래밍 언어, 가령, 예를 들어, 어셈블리 언어, C, C++, 파스칼, 포트란 또는 그 외의 다른 프로그래밍 언어들로 프로그래밍될 수 있다. 상기 프로그램에서 식별된 작업들을 수행하기 위해 컴파일 오브젝트 코드 또는 스크립트가 프로세서에 의해 실행된다. 시스템 소프트웨어는 다수의 상이한 방법들로 설계되거나 또는 구성될 수 있다. 위에서 기술된 공정들을 이행하기에 필요한 챔버 구성부품들의 작동들을 조절하기 위하여, 예를 들어 다양한 챔버 구성부품 서브루틴 또는 컨트롤 오브젝트들이 프로그래밍될 수 있다. 이 목적을 위한 프로그램 섹션 또는 프로그램 예들은 공정 가스 컨트롤 코드, 압력 콘트롤 코드, 및 플라즈마 컨트롤 코드를 포함한다.
컨트롤러 변수들은 레시피(recipe) 형태로 유저에게 제공된 공정 상태들에 관한 것이며, 유저 인터페이스를 사용하여 입력할 수 있다. 공정을 모니터링 하기 위한 신호들은 시스템 컨트롤러(722)의 아날로그 및/또는 디지털 입력 연결부들에 의해 제공될 수 있다. 공정을 조절하기 위한 신호들은 상기 기기(700)의 아날로그 및 디지털 출력 연결부들 상에 있는 출력신호(output)이다.
기기(700)는 다중-스테이션 또는 단일-스테이션 기기일 수 있다. 다중-스테이션 구조에서, 챔버(718)는 다수의 스테이션, 가령, 예를 들어, 두 개의 스테이션, 세 개의 스테이션, 네 개의 스테이션, 다섯 개의 스테이션, 여섯 개의 스테이션, 일곱 개의 스테이션, 여덟 개의 스테이션, 열 개의 스테이션, 또는 그 외의 다른 임의의 개수의 스테이션들을 포함할 수 있다. 이 숫자는 보통 동일한 환경을 공유하는 서로 다른 작동 기능 및/또는 전체 공정의 복잡성에 의해 결정된다. 특정 구체예들에서, 다중-스테이션 기기 내에 있는 두 개 또는 그 이상의 스테이션들은 똑같은 처리 환경(예를 들어, 압력)에 노출된다. 하지만, 각각의 스테이션은 전용 플라즈마 제너레이터 및 가열된 페데스털에 의해 구현된 가열 상태 및/또는 독립적인 국부 플라즈마를 가질 수 있다.
특정 구체예들에서, 기기(700)가 다중-스테이션 시스템의 일부일 수 있다. 에를 들어, 시스템이 두 개, 세 개, 또는 심지어 네 개의 개별 챔버들을 가질 수 있으며, 각각의 챔버에는 하나 또는 그 이상의 스테이션들이 있을 수 있다. 각각의 챔버는 각각의 챔버 내에 있는 내부 환경들을 독립적으로 조절하기 위하여 하나 또는 그 이상의 해당 트랜스퍼 포트(예를 들어, 로드-락(load-lock))들을 가질 수 있다.
결론
비록 본 발명이 명확하게 이해하기 위해 상세하게 기술되었기는 하지만, 첨부된 청구항들의 범위 내에서 특정의 변형예들과 변경예들이 실시될 수 있다. 본 발명의 공정, 시스템 및 기기들을 실행하기 위해 다수의 대안의 방법들이 있다는 점을 유의해야 한다. 이에 따라 본 발명에 따른 구체예들은 제한적인 것이 아니라 예시적인 것이며 본 발명이 본 명세서에서 기술된 세부사항들에만 제한되지 않는 다는 것을 고려해야 한다.

Claims (30)

  1. 화학적 증기 증착(CVD) 기기에 사용하기 위한 온도조절식 샤워헤드 조립체에 있어서,
    상기 온도조절식 샤워헤드 조립체는:
    열전도 스템으로서,
    열교환기; 및
    교체가능한 가열 부재를 포함하는, 상기 열전도 스템;
    상기 열전도 스템에 부착된 후면판;
    상기 후면판에 부착되고 상기 열전도 스템에 열 결합된 전면판으로서, 상기 후면판은 상기 전면판에서의 부착부로부터 상기 열전도 스템에서의 부착부까지 직경이 감소하는 3 개 이상의 스택된 입체 디스크를 포함하고, 상기 전면판에서의 부착부에 있는 디스크는 상기 전면판으로 가스의 흐름을 분포시키도록 구성되는, 상기 전면판; 및
    상기 전면판에 열 결합된 온도 센서를 포함하고,
    상기 온도조절식 샤워헤드는 상기 교체가능한 가열 부재와 상기 전면판 사이와 상기 열교환기와 상기 전면판 사이에 열전달 경로들을 제공함으로써 상기 전면판의 온도를 미리 결정된 범위 내에 유지시키도록 구성되는, 온도조절식 샤워헤드 조립체.
  2. 제 1항에 있어서,
    상기 열전도 스템의 중실 횡단면적은 샤프트 부분의 길이를 따라 평균적으로 5인치2 이상인, 온도조절식 샤워헤드 조립체.
  3. 제 1항에 있어서,
    상기 열전도 스템, 상기 후면판, 및 상기 전면판으로 구성된 군으로부터 선택된 하나 또는 그 이상의 구성부품들은 150W/미터/켈빈 이상의 열전도율을 가진 재료를 포함하는, 온도조절식 샤워헤드 조립체.
  4. 제 1항에 있어서,
    상기 열전도 스템, 상기 후면판, 및 상기 전면판으로 구성된 군으로부터 선택된 하나 또는 그 이상의 구성부품들은 알루미늄 6061 및 알루미늄 3003으로 구성된 군으로부터 선택된 재료를 포함하는, 온도조절식 샤워헤드 조립체.
  5. 제 1항에 있어서,
    상기 후면판의 평균 두께는 2인치 이상인, 온도조절식 샤워헤드 조립체.
  6. 제 1항에 있어서,
    상기 전면판의 평균 두께는 0.5인치 내지 1인치인, 온도조절식 샤워헤드 조립체.
  7. 제 1항에 있어서,
    상기 전면판과 상기 후면판의 평균 간격은 0.25인치 내지 0.75인치인, 온도조절식 샤워헤드 조립체.
  8. 제 1항에 있어서,
    상기 전면판과 상기 후면판 사이의 접촉 영역은 30인치2 내지 50인치2인, 온도조절식 샤워헤드 조립체.
  9. 제 1항에 있어서,
    상기 전면판은 13.5인치 내지 16.5인치의 직경을 갖는, 온도조절식 샤워헤드 조립체.
  10. 제 1항에 있어서,
    상기 열교환기는 냉각 유체를 흐를 수 있게 하도록 구성된 대류(convective) 냉각 유체 통로를 포함하는, 온도조절식 샤워헤드 조립체.
  11. 제 10항에 있어서,
    상기 냉각 유체는 물과 액체 부동액으로 구성된 군으로부터 선택되는, 온도조절식 샤워헤드 조립체.
  12. 제 1항에 있어서,
    상기 열교환기는 상기 전면판으로부터 7인치 내에 위치되는, 온도조절식 샤워헤드 조립체.
  13. 제 1항에 있어서,
    상기 온도조절식 샤워헤드 조립체는 0.2 내지 0.8의 상기 전면판의 방사율(emissivity)을 위해 상기 전면판의 온도를 200℃ 내지 300℃에 유지시키도록 구성되는, 온도조절식 샤워헤드 조립체.
  14. 제 13항에 있어서,
    상기 가열 부재는 두 개의 카트리지 히터들을 포함하며, 카트리지 히터 각각은 500W 이상의 전력 출력을 제공하도록 구성되는, 온도조절식 샤워헤드 조립체.
  15. 제 1항에 있어서,
    상기 스템은 상부 표면을 포함하며, 상기 교체가능한 가열 부재는 상기 스템 내에 위치되고 상기 스템 내로 배치될 수 있도록 구성되며 상기 상부 표면을 통해 상기 스템으로부터 제거되는, 온도조절식 샤워헤드 조립체.
  16. 제 1항에 있어서,
    상기 스템은 상부 표면을 포함하며, 상기 온도 센서는 상기 스템 내에 위치되고 상기 스템 내로 배치될 수 있도록 구성되며 상기 상부 표면을 통해 상기 스템으로부터 제거되는, 온도조절식 샤워헤드 조립체.
  17. 제 1항에 있어서,
    상기 후면판과 상기 스템으로 구성된 군으로부터 선택된 하나 또는 그 이상의 부재들의 외측 표면은 고방사율 표면을 포함하는, 온도조절식 샤워헤드 조립체.
  18. 제 17항에 있어서,
    상기 고방사율 표면은 경질 알루미늄(anodized aluminum)인, 온도조절식 샤워헤드 조립체.
  19. 제 1항에 있어서,
    상기 전면판은 공정 가스들을 균일하게 분포시키도록 구성된 복수의 관통 홀들을 갖는, 온도조절식 샤워헤드 조립체.
  20. 제 1항에 있어서,
    상기 교체가능한 가열 부재는 상기 온도조절식 샤워헤드 조립체로부터 제거가능한, 온도조절식 샤워헤드 조립체.
  21. 제 1항에 있어서,
    상기 열교환기는 상기 온도조절식 샤워헤드 조립체로부터 제거가능한, 온도조절식 샤워헤드 조립체.
  22. 제 1항에 있어서,
    상기 온도 센서는 상기 온도조절식 샤워헤드 조립체로부터 제거가능한, 온도조절식 샤워헤드 조립체.
  23. 화학적 증기 증착(CVD) 기기에 사용하기 위한 온도조절식 샤워헤드 조립체에 있어서,
    상기 온도조절식 샤워헤드 조립체는:
    알루미늄 6061을 포함하는 열전도 스템으로서, 상기 열전도 스템은,
    열교환기; 및
    하나 이상의 카트리지 히터들을 포함하고,
    카트리지 히터 각각은 적어도 500W 이상의 전력 출력을 제공하도록 구성되고, 상기 하나 이상의 카트리지 히터들은 상기 열전도 스템에 열 결합되는, 상기 열전도 스템;
    2인치 이상의 평균 두께를 갖고 알루미늄 6061을 포함하는 후면판으로서, 상기 열전도 스템에 부착되는, 상기 후면판;
    0.5인치 내지 1인치의 평균 두께를 갖고, 알루미늄 6061을 포함하는 전면판으로서, 상기 전면판은 상기 후면판에 부착되고 상기 열전도 스템에 열 결합되며 상기 전면판과 상기 후면판 사이의 평균 간격은 0.25인치 내지 0.75인치이고, 상기 후면판은 상기 전면판에서의 부착부로부터 상기 열전도 스템에서의 부착부까지 직경이 감소하는 3 개 이상의 스택된 입체 디스크를 포함하고, 상기 전면판에서의 부착부에 있는 디스크는 상기 전면판과 상기 후면판 사이의 간격으로 가스의 흐름을 분포시키도록 구성되는, 상기 전면판; 및
    상기 전면판에 열 결합된 온도 센서를 포함하고,
    상기 온도조절식 샤워헤드는 상기 하나 이상의 카트리지 히터들과 상기 전면판 사이와 상기 열교환기와 상기 전면판 사이에 열전달 경로들을 제공함으로써 상기 전면판의 온도를 미리 결정된 범위 내에 유지시키도록 구성되는, 온도조절식 샤워헤드 조립체.
  24. 부분적으로 제조된 반도체 기판 상에 반도체 재료를 증착시키기 위한 화학적 증기 증착(CVD) 시스템에 있어서,
    상기 CVD 시스템은:
    처리 챔버 내에 저압 환경을 유지시키도록 구성된 상기 처리 챔버;
    상기 부분적으로 제조된 반도체 기판을 고정하고 상기 부분적으로 제조된 반도체 기판의 온도를 500℃ 내지 600℃에 유지하기 위한 기판 지지부;
    온도조절식 샤워헤드 조립체를 포함하고,
    상기 온도조절식 샤워헤드 조립체는:
    열전도 스템으로서,
    열교환기; 및
    교체가능한 가열 부재를 포함하는, 상기 열전도 스템;
    상기 열전도 스템에 부착된 후면판;
    상기 후면판에 부착되고 상기 열전도 스템에 열 결합된 전면판으로서, 상기 후면판은 상기 전면판에서의 부착부로부터 상기 열전도 스템에서의 부착부까지 직경이 감소하는 3 개 이상의 스택된 입체 디스크를 포함하고, 상기 전면판에서의 부착부에 있는 디스크는 상기 전면판으로 가스의 흐름을 분포시키도록 구성되는, 상기 전면판; 및
    상기 전면판에 열 결합된 온도 센서를 포함하고,
    상기 온도조절식 샤워헤드는 상기 교체가능한 가열 부재와 상기 전면판 사이와 상기 열교환기와 상기 전면판 사이에 열전달 경로들을 제공함으로써 상기 전면판의 온도를 미리 결정된 범위 내에 유지시키도록 구성되는, 화학적 증기 증착(CVD) 시스템.
  25. 제 24항에 있어서,
    상기 온도조절식 샤워헤드의 상기 전면판은 상기 기판 지지부로부터 0.7인치 내에 위치되며, 상기 온도조절식 샤워헤드는 상기 기판 지지부가 500℃ 내지 550℃에 유지되는 동안 상기 전면판의 온도를 200℃ 내지 300℃에 유지시키도록 구성되는, 화학적 증기 증착(CVD) 시스템.
  26. 제 24항에 있어서,
    상기 화학적 증기 증착(CVD) 시스템은 인-시튜(in-situ) 플라즈마 제너레이터를 추가로 포함하는, 화학적 증기 증착(CVD) 시스템.
  27. 제 24항에 있어서,
    상기 화학적 증기 증착(CVD) 시스템은 단일-스테이션 증착 시스템인, 화학적 증기 증착(CVD) 시스템.
  28. 제 24항에 있어서,
    상기 화학적 증기 증착(CVD) 시스템은 제 2 기판 지지부를 추가로 포함하는, 화학적 증기 증착(CVD) 시스템.
  29. 제 28항에 있어서,
    상기 기판 지지부와 상기 제 2 기판 지지부는 상기 처리 챔버 내측에 위치되며 똑같은 저압 환경에 노출되도록 구성되는, 화학적 증기 증착(CVD) 시스템.
  30. 제 28항에 있어서,
    상기 화학적 증기 증착(CVD) 시스템은 상기 처리 챔버와는 상이한 환경에 유지시키도록 구성된 제 2 처리 챔버를 추가로 포함하며, 상기 기판 지지부는 상기 처리 챔버 내에 위치되고 상기 제 2 기판 지지부는 상기 제 2 처리 챔버 내에 위치되는, 화학적 증기 증착(CVD) 시스템.
KR1020100129965A 2009-12-18 2010-12-17 고온 작동을 위한 온도조절식 샤워헤드 KR101787100B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/642,497 2009-12-18
US12/642,497 US9034142B2 (en) 2009-12-18 2009-12-18 Temperature controlled showerhead for high temperature operations

Publications (2)

Publication Number Publication Date
KR20110070826A KR20110070826A (ko) 2011-06-24
KR101787100B1 true KR101787100B1 (ko) 2017-10-18

Family

ID=44149285

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100129965A KR101787100B1 (ko) 2009-12-18 2010-12-17 고온 작동을 위한 온도조절식 샤워헤드

Country Status (5)

Country Link
US (2) US9034142B2 (ko)
KR (1) KR101787100B1 (ko)
CN (1) CN102102194B (ko)
SG (1) SG172576A1 (ko)
TW (2) TWI572740B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180001587U (ko) * 2016-11-18 2018-05-28 어플라이드 머티어리얼스, 인코포레이티드 열 반복성 및 인-시튜 샤워헤드 온도 모니터링
KR20190074854A (ko) * 2017-12-20 2019-06-28 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8137467B2 (en) * 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
US20090260571A1 (en) * 2008-04-16 2009-10-22 Novellus Systems, Inc. Showerhead for chemical vapor deposition
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
US9034142B2 (en) 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
SG192967A1 (en) 2011-03-04 2013-09-30 Novellus Systems Inc Hybrid ceramic showerhead
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9947512B2 (en) * 2011-10-25 2018-04-17 Lam Research Corporation Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber
US20130115372A1 (en) * 2011-11-08 2013-05-09 Primestar Solar, Inc. High emissivity distribution plate in vapor deposition apparatus and processes
CN103068138A (zh) * 2011-12-31 2013-04-24 长春吉大·小天鹅仪器有限公司 一种mpt微波能量真空管水冷装置
CN102534567B (zh) 2012-03-21 2014-01-15 中微半导体设备(上海)有限公司 控制化学气相沉积腔室内的基底加热的装置及方法
US20130316094A1 (en) * 2012-05-25 2013-11-28 Novellus Systems, Inc. Rf-powered, temperature-controlled gas diffuser
JP5940375B2 (ja) * 2012-06-01 2016-06-29 シャープ株式会社 気相成長装置および窒化物半導体発光素子の製造方法
CN103628046B (zh) * 2012-08-24 2015-11-11 中微半导体设备(上海)有限公司 一种调节基片表面温度的控温系统和控温方法
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
TWI473903B (zh) 2013-02-23 2015-02-21 Hermes Epitek Corp 應用於半導體設備的噴射器與上蓋板總成
US9070750B2 (en) 2013-03-06 2015-06-30 Novellus Systems, Inc. Methods for reducing metal oxide surfaces to modified metal surfaces using a gaseous reducing environment
US9865501B2 (en) 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9469912B2 (en) 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9472377B2 (en) 2014-10-17 2016-10-18 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
US9570289B2 (en) 2015-03-06 2017-02-14 Lam Research Corporation Method and apparatus to minimize seam effect during TEOS oxide film deposition
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US20170002465A1 (en) * 2015-06-30 2017-01-05 Lam Research Corporation Separation of Plasma Suppression and Wafer Edge to Improve Edge Film Thickness Uniformity
US10373794B2 (en) 2015-10-29 2019-08-06 Lam Research Corporation Systems and methods for filtering radio frequencies from a signal of a thermocouple and controlling a temperature of an electrode in a plasma chamber
CN105483620B (zh) * 2015-11-27 2018-03-30 京东方科技集团股份有限公司 喷嘴部件、蒸镀装置及制作有机发光二极管器件的方法
US10266947B2 (en) * 2016-08-23 2019-04-23 Lam Research Corporation Rotary friction welded blank for PECVD heated showerhead
US9824884B1 (en) 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
TWI815813B (zh) * 2017-08-04 2023-09-21 荷蘭商Asm智慧財產控股公司 用於分配反應腔內氣體的噴頭總成
US10867812B2 (en) 2017-08-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor manufacturing system and control method
US10907252B2 (en) 2017-10-23 2021-02-02 Applied Materials, Inc. Horizontal heat choke faceplate design
KR20190067356A (ko) * 2017-12-07 2019-06-17 삼성전자주식회사 막 형성 장치
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
KR20190090414A (ko) * 2018-01-24 2019-08-02 삼성디스플레이 주식회사 증착 장치
US10889894B2 (en) 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
DE102018121854A1 (de) * 2018-09-07 2020-03-12 Aixtron Se Verfahren zum Einrichten oder zum Betrieb eines CVD-Reaktors
KR20200099688A (ko) * 2019-02-15 2020-08-25 이도형 진공증착장비
CN111763927B (zh) * 2019-04-01 2023-04-07 上海先进半导体制造有限公司 Lpcvd炉管法兰温控装置及lpcvd炉设备
WO2021042116A1 (en) * 2019-08-23 2021-03-04 Lam Research Corporation Thermally controlled chandelier showerhead
US12080522B2 (en) * 2020-04-22 2024-09-03 Applied Materials, Inc. Preclean chamber upper shield with showerhead
US11242600B2 (en) 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
CN114790543A (zh) * 2021-01-26 2022-07-26 Asm Ip私人控股有限公司 用于沉积层的方法和系统
WO2024039613A1 (en) * 2022-08-16 2024-02-22 Eugenus, Inc. Temperature-controlled showerhead assembly for cyclic vapor deposition
WO2024050248A1 (en) * 2022-08-30 2024-03-07 Lam Research Corporation A temperature controlled shower head for a processing tool

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010035127A1 (en) * 1998-10-27 2001-11-01 Craig R. Metzner Deposition reactor having vaporizing, mixing and cleaning capabilities
US20050017100A1 (en) * 2000-06-22 2005-01-27 Katsumi Watanabe Nozzle plate member for supplying fluids in dispersed manner and manufacturing method of the same
US20090095219A1 (en) * 2007-10-16 2009-04-16 Novellus Systems, Inc. Temperature controlled showerhead
JP5468735B2 (ja) 2004-04-13 2014-04-09 ハイドロパック ラブ プロダクツ インコーポレイテッド 流体送出弁装置及び方法

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE628052A (ko) 1962-03-15
GB2112715B (en) 1981-09-30 1985-07-31 Shinshu Seiki Kk Ink jet recording apparatus
JPH067542B2 (ja) 1984-11-22 1994-01-26 株式会社日立製作所 製造装置
FR2682047B1 (fr) 1991-10-07 1993-11-12 Commissariat A Energie Atomique Reacteur de traitement chimique en phase gazeuse.
US5446824A (en) 1991-10-11 1995-08-29 Texas Instruments Lamp-heated chuck for uniform wafer processing
US5376213A (en) 1992-07-28 1994-12-27 Tokyo Electron Limited Plasma processing apparatus
JP3174438B2 (ja) 1993-08-03 2001-06-11 松下電器産業株式会社 プラズマcvd方法
US5452396A (en) 1994-02-07 1995-09-19 Midwest Research Institute Optical processing furnace with quartz muffle and diffuser plate
US5468298A (en) * 1994-04-13 1995-11-21 Applied Materials, Inc. Bottom purge manifold for CVD tungsten process
TW331652B (en) 1995-06-16 1998-05-11 Ebara Corp Thin film vapor deposition apparatus
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5653479A (en) 1996-02-02 1997-08-05 Vlsi Technology, Inc. Vacuum seal for a ball junction
US5834068A (en) 1996-07-12 1998-11-10 Applied Materials, Inc. Wafer surface temperature control for deposition of thin films
US5806980A (en) * 1996-09-11 1998-09-15 Novellus Systems, Inc. Methods and apparatus for measuring temperatures at high potential
US5950925A (en) 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US6112697A (en) 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US6289842B1 (en) 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6409837B1 (en) 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
KR100302609B1 (ko) 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6237528B1 (en) 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6379056B1 (en) 2000-09-12 2002-04-30 Tokyo Electron Limited Substrate processing apparatus
KR100676979B1 (ko) 2001-02-09 2007-02-01 동경 엘렉트론 주식회사 성막 장치
US20020144783A1 (en) 2001-04-05 2002-10-10 Applied Materials, Inc. Apparatus and method for accelerating process stability of high temperature vacuum processes after chamber cleaning
WO2002089531A1 (en) 2001-04-30 2002-11-07 Lam Research, Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
TW573053B (en) * 2001-09-10 2004-01-21 Anelva Corp Surface processing apparatus
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US6883733B1 (en) * 2002-03-28 2005-04-26 Novellus Systems, Inc. Tapered post, showerhead design to improve mixing on dual plenum showerheads
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
JP4186536B2 (ja) 2002-07-18 2008-11-26 松下電器産業株式会社 プラズマ処理装置
KR100454281B1 (ko) 2002-12-24 2004-10-26 한전기공주식회사 보일러 화염감지기 교정장치
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
JP4493932B2 (ja) 2003-05-13 2010-06-30 東京エレクトロン株式会社 上部電極及びプラズマ処理装置
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050221000A1 (en) 2004-03-31 2005-10-06 Tokyo Electron Limited Method of forming a metal layer
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US20060027169A1 (en) 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control
KR100628888B1 (ko) 2004-12-27 2006-09-26 삼성전자주식회사 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US8187679B2 (en) * 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
SG152163A1 (en) 2007-10-16 2009-05-29 Novellus Systems Inc Temperature controlled showerhead
US20090095218A1 (en) 2007-10-16 2009-04-16 Novellus Systems, Inc. Temperature controlled showerhead
US20090260571A1 (en) 2008-04-16 2009-10-22 Novellus Systems, Inc. Showerhead for chemical vapor deposition
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
CN105088191B (zh) * 2009-07-15 2018-07-13 应用材料公司 Cvd 腔室的流体控制特征结构
US9034142B2 (en) 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010035127A1 (en) * 1998-10-27 2001-11-01 Craig R. Metzner Deposition reactor having vaporizing, mixing and cleaning capabilities
US20050017100A1 (en) * 2000-06-22 2005-01-27 Katsumi Watanabe Nozzle plate member for supplying fluids in dispersed manner and manufacturing method of the same
JP5468735B2 (ja) 2004-04-13 2014-04-09 ハイドロパック ラブ プロダクツ インコーポレイテッド 流体送出弁装置及び方法
US20090095219A1 (en) * 2007-10-16 2009-04-16 Novellus Systems, Inc. Temperature controlled showerhead

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180001587U (ko) * 2016-11-18 2018-05-28 어플라이드 머티어리얼스, 인코포레이티드 열 반복성 및 인-시튜 샤워헤드 온도 모니터링
KR200496056Y1 (ko) 2016-11-18 2022-10-21 어플라이드 머티어리얼스, 인코포레이티드 열 반복성 및 인-시튜 샤워헤드 온도 모니터링
KR20230031270A (ko) * 2016-11-18 2023-03-07 어플라이드 머티어리얼스, 인코포레이티드 열 반복성 및 인-시튜 샤워헤드 온도 모니터링
KR102628832B1 (ko) 2016-11-18 2024-01-23 어플라이드 머티어리얼스, 인코포레이티드 열 반복성 및 인-시튜 샤워헤드 온도 모니터링
KR20190074854A (ko) * 2017-12-20 2019-06-28 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법
KR102242820B1 (ko) 2017-12-20 2021-04-21 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법

Also Published As

Publication number Publication date
CN102102194B (zh) 2015-04-01
TWI572740B (zh) 2017-03-01
KR20110070826A (ko) 2011-06-24
US20150218701A1 (en) 2015-08-06
TWI523973B (zh) 2016-03-01
US20110146571A1 (en) 2011-06-23
SG172576A1 (en) 2011-07-28
US9034142B2 (en) 2015-05-19
CN102102194A (zh) 2011-06-22
TW201132793A (en) 2011-10-01
TW201608054A (zh) 2016-03-01

Similar Documents

Publication Publication Date Title
KR101787100B1 (ko) 고온 작동을 위한 온도조절식 샤워헤드
US10584415B2 (en) Temperature controlled showerhead
KR200454281Y1 (ko) 온도 제어 샤워헤드
US20160056032A1 (en) Methods and apparatuses for stable deposition rate control in low temperature ald systems by showerhead active heating and/or pedestal cooling
US11692732B2 (en) Air cooled faraday shield and methods for using the same
US8137467B2 (en) Temperature controlled showerhead
JP7062383B2 (ja) アーク放電および点火を防ぎプロセスの均一性を向上させるための特徴を有する静電チャック
US20090095218A1 (en) Temperature controlled showerhead
KR101039085B1 (ko) 플라즈마처리장치 및 플라즈마처리방법
TWM361710U (en) Temperature controlled showerhead
JP7413128B2 (ja) 基板支持台
JP3147392U (ja) 温度制御型シャワーヘッド
TWI848148B (zh) 基板處理裝置
WO2023277923A1 (en) High temperature susceptor for high power rf applications
WO2024091408A1 (en) Cupped baffle plates for showerheads of substrate processing systems
JP3612225B2 (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant