TWI523973B - 應用於高溫操作之溫度控制噴灑頭 - Google Patents

應用於高溫操作之溫度控制噴灑頭 Download PDF

Info

Publication number
TWI523973B
TWI523973B TW099144608A TW99144608A TWI523973B TW I523973 B TWI523973 B TW I523973B TW 099144608 A TW099144608 A TW 099144608A TW 99144608 A TW99144608 A TW 99144608A TW I523973 B TWI523973 B TW I523973B
Authority
TW
Taiwan
Prior art keywords
panel
temperature controlled
temperature
sprinkler head
rod
Prior art date
Application number
TW099144608A
Other languages
English (en)
Other versions
TW201132793A (en
Inventor
克里斯多夫M 巴特雷
李明
強 亨利
馬修R 史托維爾
摩哈德 沙比
Original Assignee
諾菲勒斯系統公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 諾菲勒斯系統公司 filed Critical 諾菲勒斯系統公司
Publication of TW201132793A publication Critical patent/TW201132793A/zh
Application granted granted Critical
Publication of TWI523973B publication Critical patent/TWI523973B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Description

應用於高溫操作之溫度控制噴灑頭
本申請案主張2009年12月18日申請之美國專利申請案第12/642,497號的優先權,且該專利申請案以引用的方式併入本文中。
化學氣相沈積(CVD)腔室通常包括噴灑頭,其具有穿孔或多孔平面表面以在諸如半導體基板表面之第二平行平面表面上以均勻方式施配反應物及載氣。基板可經加熱至使前驅體反應而導致沈積在基板表面上的膜的過程溫度。噴灑頭反應器或平行板反應器有助於實施電漿增強型製程,例如電漿增強型化學氣相沈積(PECVD)。基板支撐件(例如,支座)可接地且用作電極中之一者。噴灑頭可用作RF功率所施加至的另一電極。在另一組態中,RF功率可施加於基板支撐件,而噴灑頭可接地。
沈積均勻性可能受到諸如基板及噴灑頭之溫度的過程參數之變化的不利影響。此等變化可能在過程起始(例如,在到達穩定狀態之前)、清潔循環及閒置期間出現。舉例而言,當最初開啟反應器時,在噴灑頭之溫度穩定之前可能要花費較長時間。噴灑頭可藉由自基板(或基板支座)的輻射及藉由電漿來加熱,該電漿可在處理期間的某點引入,從而引起額外之溫度波動。同時,噴灑頭由於自其外表面輻射而可能將一些熱釋放至流經噴灑頭的過程氣體。此外,溫度變化可能由系統中之其他較持久改變引起,諸如噴灑頭之表面發射率的漂移。可變溫度可引起所沈積膜的實質不均勻性。此外,在高溫下操作噴灑頭縮短了其操作壽命且導致粒子污染。舉例而言,高於300℃之溫度可導致鋁噴灑頭表面上之氟化鋁的快速形成。氟化鋁趨於剝落且落到下方的基板上。
需要新的裝置及方法來較精確地控制噴灑頭溫度及在較低溫度下操作噴灑頭。
一種具有增強之熱傳遞特徵的溫度受控CVD噴灑頭提供準確且穩定的溫度控制,且減少由腔室中之變化引起的溫度波動。此噴灑頭能夠在操作環境中之改變擾動系統(例如,開啟電漿產生器、將新基板引入至支座上、改變過程氣體之流動速率)時快速恢復至溫度設定點。準確的溫度控制改良了基板與基板間的均勻性。
使用溫度感測器來監視面板溫度且提供反饋以用於控制加熱元件及/或熱交換器。有效之熱傳遞路徑存在於面板與加熱元件之間及面板與熱交換器之間,從而允許有效之熱供應或自面板移除熱。熱傳遞特性由背板及桿的大橫截面型面促進。此外,諸如鋁6061-T6之具有高熱導率的材料用於元件之構造。由藉由使高熱容量冷卻流體通過熱交換器及安裝在桿中之高功率加熱元件而建立的溫度梯度來驅動熱傳遞。
與準確之溫度控制相關的熱傳遞及耗散特性允許在儘管存在自支座至面板的實質熱通量的情況下與附近支座之溫度相比實質上較低(例如,約100℃與300℃之間)的溫度下操作噴灑頭。較低溫度延長了噴灑頭的操作壽命且最小化粒子污染。在某些實施例中,熱耗散亦為在背板及桿上提供高發射率外表面的結果。
可容易地自噴灑頭移除加熱元件、熱交換器及溫度感測器。在某些實施例中,可在不影響沈積腔室之內部環境的情況下替換此等組件。換言之,在替換上述噴灑頭總成組件中之一或多者的同時,沈積腔室可維持在低操作壓力下。可移除之組件簡化了噴灑頭及整個沈積系統的故障查找及維護且最小化其停工時間。
在某些實施例中,一種用於在化學氣相沈積(CVD)裝置中使用之溫度受控噴灑頭總成包括:一熱傳導桿;一背板,其附接至該熱傳導桿;一面板,其熱耦接至該熱傳導桿且附接至該背板;一加熱元件,其熱耦接至該熱傳導桿;一熱交換器,其熱耦接至該熱傳導桿;及一溫度感測器,其熱耦接至該面板。該溫度受控噴灑頭可經組態以藉由在該可移除加熱元件與該面板之間及該可移除熱交換器與該面板之間提供熱傳遞路徑來將該面板之溫度維持於預定範圍內。該面板可具有經組態以用於過程氣體之均勻施配的多個通孔。在特定實施例中,可自溫度受控噴灑頭總成移除一加熱元件、一熱交換器及/或一溫度感測器。
該熱傳導桿之實心橫截面沿著軸部分的長度平均可為至少約5平方英吋。一熱傳導桿、一背板及/或一面板可由具有至少約150瓦/(米‧開爾文)之熱導率的材料製成。在特定實施例中,一熱傳導桿、一背板及/或一面板由鋁6061及鋁3003製成。該背板之平均厚度可為至少約2英吋,而該面板之平均厚度在約0.5英吋與1英吋之間。該面板與該背板之間的平均間隙可在約0.25英吋與0.75英吋之間。該面板與該背板之間的接觸面積可在約30平方英吋與50平方英吋之間。一面板可具有約13.5英吋與16.5英吋之間的直徑。
在某些實施例中,一熱交換器包括一經組態以允許冷卻流體流動的對流冷卻流體通路。該冷卻流體可為水或液體防凍溶液。在相同或其他實施例中,一熱交換器定位於距該面板約7英吋之範圍內。一溫度受控噴灑頭總成可經組態以針對該面板的在約0.2與0.8之間的發射率而將該面板之溫度維持在約200℃與300℃之間。在某些實施例中,一加熱元件包括兩個筒形加熱器,每一筒形加熱器經組態以提供至少約500瓦的功率輸出。
一桿可包括一頂面。一加熱元件可定位於該桿內且經組態以經由該頂面而放置於該桿中及自該桿移除。此外,一溫度感測器可定位於該桿內且經組態以經由該頂面而放置於該桿中及自該桿移除。
在某些實施例中,一桿及/或一背板之外表面具有高發射率。舉例而言,該高發射率表面可為陽極氧化鋁。
在某些實施例中,一種用於在部分製造之半導體基板上沈積半導體材料的化學氣相沈積(CVD)系統包括:一處理腔室,其經組態以維持該處理腔室內的低壓力環境;一基板支撐件,其用於固持該部分製造之半導體基板且將該部分製造之半導體基板的溫度維持在約500℃與600℃之間;及一溫度受控噴灑頭總成。該溫度受控噴灑頭總成可進一步包括:一熱傳導桿;一背板,其附接至該熱傳導桿;一面板,其熱耦接至該熱傳導桿且附接至該背板;一加熱元件,其熱耦接至該熱傳導桿;一熱交換器,其熱耦接至該熱傳導桿;及一溫度感測器,其熱耦接至該面板。該溫度受控噴灑頭經組態以藉由在該加熱元件與該面板之間及該熱交換器與該面板之間提供熱傳遞路徑來將該面板之溫度維持於預定範圍內。該溫度受控噴灑頭的該面板可定位於距該基板支撐件約0.7英吋之範圍內。該溫度受控噴灑頭可經組態以在該基板支撐件維持於約500℃與550℃之間的同時將該面板之溫度維持於約200℃與300℃之間。在某些實施例中,一CVD系統亦包括原位電漿產生器。
在某些實施例中,一CVD系統係單台沈積系統。在其他實施例中,一CVD系統包括一第二基板支撐件。該第一基板支撐件及該第二基板支撐件可定位於同一處理腔室內部且經組態以暴露於同一環境。在其他實施例中,一CVD系統亦包括一經組態以維持不同的環境的第二處理腔室。該第一及第二基板支撐件可定位於不同的處理腔室(例如,該第一處理腔室及該第二處理腔室)中。
在以下描述中,陳述許多具體細節以便提供對本發明的詳盡理解。本發明可在無此等具體細節中之一些或全部的情況下實踐。在其他例子中,未詳細描述熟知之過程操作以免不必要地混淆本發明。雖然將結合具體實施例來描述本發明,但將瞭解不意欲將本發明限於該等實施例。
在本申請案中,術語「基板」與「晶圓」將可互換使用。以下詳細描述假定本發明實施於半導體處理設備上。然而,本發明不受此限制。該裝置可用以處理各種形狀、大小及材料的工件。除了半導體晶圓以外,可利用本發明之其他工件亦包括諸如顯示器面平面、印刷電路板及其類似者之各種物品。
噴灑頭溫度隨著時間漂移且影響沈積反應速率及膜性質。圖1係在無任何溫度控制(亦即,不對噴灑頭施加加熱或冷卻)的情況下50晶圓運行上的四台沈積腔室中的四個噴灑頭溫度的曲線圖。第一台噴灑頭溫度對應於線102。第二台噴灑頭溫度對應於線104。第三台噴灑頭溫度對應於線106。且最終,第四台噴灑頭溫度對應於線108。隨著時間過去,第二、第三及第四台之噴灑頭溫度增加,直至其在約3700秒時達到穩定狀態溫度為止。腔室中之電漿條件以線110表示為階梯函數。最初,在虛設沈積模式中提供電漿以使噴灑頭變暖,且在約10分鐘之後晶圓處理開始。在第一台中,溫度在晶圓處理開始之後開始逐漸減小,此係因為彼台處的每一傳入晶圓(其實質上比噴灑頭冷)在晶圓變暖至過程溫度時使腔室組件(包括噴灑頭)冷卻。因此,後續台中的溫度分佈漸進地較高。第二台噴灑頭比第三台噴灑頭冷,此係因為至第二台的傳入晶圓比至第三台的傳入晶圓冷。對於所有的台,噴灑頭溫度在某個時間之後達到平衡溫度。
圖1說明正在多台腔室中處理之基板將在不同的台處經歷不同之噴灑頭溫度。同一問題在典型的單台腔室中出現。舉例而言,噴灑頭溫度可在彼台上沈積多個子層時波動。在沈積期間,噴灑頭中之熱傳遞為受到支座溫度、電漿存在及其功率以及其他因素影響的動態過程。若不有效地控制,則噴灑頭經歷實質的溫度偏差。在噴灑頭溫度影響沈積膜性質的情形中,在同一晶圓上使用不同噴灑頭沈積之每一層可能導致不同性質。對噴灑頭溫度之波動尤其敏感的一個CVD製程實例係氮化矽間隔物之沈積。另一此實例係使用正矽酸乙酯(TEOS)前驅體的沈積。
由不同之噴灑頭溫度引起的膜性質差異在以下兩個實例中說明。圖2A展示在不同噴灑頭溫度下沈積之膜厚度的繪圖。在所有其他過程參數保持恆定的情況下,較高之噴灑頭溫度導致較厚的膜。因此,在晶圓運行的開始(例如,在某個閒置時間或腔室清潔之後及在噴灑頭仍相對較冷時)沈積的層將比一旦過程到達穩定狀態時沈積的層薄。圖2B說明噴灑頭溫度對氮化矽間隔物膜之應力的影響。隨著噴灑頭溫度增加,應力位準減小。應力位準的變化可對器件效能(例如,電晶體)具有不利影響。
溫度受控噴灑頭改良了單台及多台裝置中的塊體膜及個別子層兩者的基板至基板均勻性,藉由消除非處理延遲(例如,使溫度穩定)而增加了處理量,藉由在較低溫度下操作噴灑頭而減少粒子污染,且允許對各種膜性質的較好控制。在某些組態中,較精確的控制確保腔室中的多個噴灑頭係以實質上類似的過程參數且接近於目標參數來操作。因此,不同子層之膜性質受到較好控制。
改良溫度控制及提供較充足之熱路徑可用以減少噴灑頭的熱循環。換言之,噴灑頭溫度可在沈積、閒置及/或清潔期間維持在相當的位準。熱循環之減少增加了處理之處理量(藉由最小化或消除溫度斜升及穩定週期)且幫助減少因噴灑頭表面上之沈積物的剝落引起的粒子污染。噴灑頭表面上之沈積物具有與噴灑頭之材料不同的熱膨脹係數,從而導致在熱循環期間沈積物的剝落。
粒子污染亦可藉由降低噴灑頭之操作溫度來減少。即使在緊密接近噴灑頭處在約400℃-600℃下處理基板,與散熱相關的有效熱傳遞允許將噴灑頭的面板維持在約200℃與300℃之間。應注意,為了本文獻的目的,針對面板提供噴灑頭溫度參考(除非另外陳述)。藉由將熱自面板經由背板及桿傳遞至熱交換器、藉由自背板輻射及/或其組合來移除熱。除了粒子污染的減少以外,某些CVD製程亦需要較低的噴灑頭溫度來達成某些膜性質。舉例而言,降低噴灑頭溫度改良了某些膜中的應力位準,如圖2B所證明。
大體上,存在兩種主要類型的CVD噴灑頭:枝形吊燈(chandelier)型及齊平安裝型。枝形吊燈型噴灑頭具有桿,其在一端附接至腔室的頂部且在另一端附接至面板。桿的一部分可自腔室頂部突出以用於連接氣體管線及RF功率。齊平安裝型噴灑頭整合至腔室的頂部中且通常不具有桿。本文獻大體上涉及枝形吊燈型噴灑頭,然而應瞭解,如熟習此項技術者在給出本文提供之描述的情況下將容易瞭解,某些特徵亦可在齊平安裝型噴灑頭中使用。
噴灑頭溫度在添加或移除熱時改變。以可控方式(例如,基於當前登記溫度及設定點)來添加或移除此熱中之一些。下文進一步描述之噴灑頭的各種組件實現此可控過程。然而,一些熱由於周圍條件的改變而傳遞,且必須對此傳遞進行補償以便維持穩定溫度。舉例而言,在開啟電漿時由於帶電粒子與噴灑頭的碰撞而將熱添加至噴灑頭。此外,可藉由諸如經處理之晶圓或支座的其他周圍組件來加熱噴灑頭。當較冷材料(例如,經由噴灑頭供應的反應物氣體,或自裝載鎖定(load-lock)或另一較冷之台引入的基板)引入至腔室中時,噴灑頭釋放熱。此外,熱由於向其他腔室組件傳導(例如,經由噴灑頭桿材料至腔室頂篷)及輻射(例如,自背板)而損失。
圖3A係根據本發明之某些實施例的溫度受控噴灑頭300的示意性橫截面圖。噴灑頭300可為圖5之情形中進一步描述的噴灑頭總成的一部分以及圖7之情形中進一步描述的沈積系統的一部分。噴灑頭300包括熱傳導桿304、背板306及面板308。桿304及背板306可為單獨之機械組件或整合為單個主體。以類似方式,背板306及面板308可為單獨之機械組件或整合為單個主體。舉例而言,此等組件中之兩者或兩者以上可由單個材料塊製造在一起,或在製造之後以此等組件無法容易分離的方式附接在一起(例如,焊接、按壓、熔接在一起)。後一種方法可提供整合式組件之間的較好熱傳遞。然而,以可移除方式附接之組件可能在一或多個組件可能需要週期性改變的實施例中係較佳的。舉例而言,面板308可以可移除方式附接至背板306以允許對歧管區域316的維護,以在一個面板磨損時替換面板308或用具有不同施配圖案(例如,在圖4B的情形中進一步描述的底面上的孔圖案)的另一面板替換面板308。以類似方式,背板306可以可移除方式附接至面板308及/或附接至桿304。在某些實施例中,可用具有不同熱傳遞特性之不同背板來替換背板306。
在某些實施例中,桿304具有圓柱形形狀,其平均直徑(如圖3A所示的DSTEM)在約2英吋與4英吋之間,或更具體言之約2.5英吋與3.5英吋之間,或更加具體言之約2.75英吋與3.25英吋之間。應注意,本文獻中呈現的尺寸對應於針對處理300 mm晶圓組態的噴灑頭(除非另外說明)。應瞭解,可針對經組態以處理不同基板類型及大小(例如,200 mm晶圓、450 mm晶圓等)的噴灑頭使用其他尺寸。
表徵桿304之另一方式係基於其實心橫截面積(亦即,不包括任何開口之橫截面材料表面)。在某些實施例中,此橫截面平均在約3平方英吋與10平方英吋之間,或更具體言之約4平方英吋與8平方英吋之間。桿304之高度(圖3A中所示為HSTEM)可平均在約1英吋與5英吋之間,或更具體言之約2英吋與4英吋之間,或更加具體言之約2.5英吋與3.5英吋之間。
然而,與(例如)在圖5之情形中論述的距熱耦接至桿的熱交換器的距離相比,桿之長度對於熱分析來說可能較不相關。此外,當分析桿之熱導率時應考慮桿中提供的任何特徵,諸如過程氣體饋送開口310、溫度感測器開口312及加熱元件開口314。其他熱分析考慮因素包括用於桿構造之材料的熱性質(例如,熱導率、熱容量)、溫度梯度、至其他元件(例如,熱交換器、背板)的熱耦接及其他。
背板306亦可具有圓柱形形狀。在某些實施例中,背板306可表徵為如圖3A及圖3B所示的圓盤堆疊。舉例而言,堆疊可包括兩個、三個、四個、五個或更多圓盤。在特定實施例中,背板為三個圓盤之堆疊。通常定位於堆疊之底部且與面板308接觸的最大圓盤可具有約12英吋與14英吋之間的直徑(圖中未做參考)及約0.5英吋與1英吋之間的厚度(圖中未做參考)。另一圓盤之直徑(圖3B中的D2BACK PLATE)可比最大圓盤小約0.5英吋與1.5英吋之間,且具有約0.5英吋與1英吋之間的厚度(圖3A中的H2BACK PLATE)。又一圓柱體之直徑(圖3B中的D1BACK PLATE)可比最大圓柱體小約2.0英吋與4.0英吋之間,且具有約1.0與2.0英吋之間的厚度(圖3A中的H1BACK PLATE)。
大體上,上文描述之各種圓盤堆疊形成單個統一主體(例如,由單個材料塊製造或使用焊接、按壓、熔接或其他技術而永久附接在一起)。在某些實施例中,每一圓盤可為可以模組化方式與相同或不同大小的其他圓盤堆疊在一起以形成背板的單獨組件。圓盤之數目及大小可基於熱傳遞要求來選擇,且可針對不同要求重新組態成另一堆疊。
面板308可稍微大於基板,例如對於300mm晶圓噴灑頭類型,直徑(圖3B中的DFRONT PLATE)為基板的約100%與125%之間或在約14英吋與16英吋之間。為了確保整個面板308上的熱均勻性且向背板306及自背板306傳導熱,面板可具有之厚度(圖3A中的TFRONT PLATE)為至少約0.5英吋,或更具體言之約0.5英吋與1英吋之間,或更加具體言之約0.7英吋與0.8英吋之間。此外,面板308與背板306的組合可由兩者之間的接觸面積來表徵,該接觸面積在某些實施例中可在約10平方英吋與30平方英吋之間,或更具體言之約15平方英吋與25平方英吋之間。如圖3A至圖3B所示,接觸區域可成形為具有約12英吋與16英吋之間的直徑及約0.25英吋與1英吋之間的橫截面的環。
上文描述之噴灑頭組件可由能夠在典型CVD腔室的環境(例如,基於氟化物的化學品、電漿)及條件(例如,高達約600℃的溫度)中操作的材料製造。材料之實例包括鋁(例如,等級6061-T6、3003-O、3003-H12)、不鏽鋼及陶瓷(例如,氧化鋁)。
過程氣體經由桿304中之氣體饋送通道310引入,且流經背板306,之後進入背板306與面板308之間的歧管區域316。歧管區域316可包括隔板(未圖示)以用於在整個區域316上均勻地施配過程氣體。背板306與面板308之間的間隙可平均在約0.25英吋與1英吋之間。
為了維持歧管區域316中之均勻氣流,間隙可保持恆定,其中若干分隔物/間隔物定位於背板306與面板308之間的各種位置(例如,3、6或高達10個位置)處。在某些實施例中,如圖4A所示,分隔物/間隔物402係面板308的一部分。背板306可藉助於焊接或銅焊經由分隔物/間隔物402而附接至面板308。或者,背板306可在帶螺紋盲孔(未圖示)處經由分隔物/間隔物緊固至面板308。在其他實施例中,可使用具有或不具有內螺紋的各種形狀之間隔物或襯套。儘管描述螺釘進入背板306且螺接至面板308中,但可使用相反組態(亦即,穿過面板308進入且螺接至背板306中)。
返回至圖3A及圖3B,桿304亦可包括用於插入溫度感測器(亦即,溫度感測器熱電偶套管)的開口。開口312可被密封而遠離歧管區域316以防止過程氣體經由開口312逸出。開口312可允許在不拆卸噴灑頭300的情況下替換溫度感測器。在某些實施例中,可在噴灑頭300附接至維持於其操作壓力下的沈積腔室時替換溫度感測器。
溫度感測器開口312係以使經安裝之感測器(圖5中的元件506;圖3A及圖3B中未圖示)與面板308熱耦接的方式組態。舉例而言,背板306可具有延伸至歧管區域316中的特徵,其與(例如)經由噴灑頭300的周邊周圍的接觸區域相比建立與面板308的更直接接觸。在此組態中,溫度感測器提供關於面板的當前溫度的快速響應,且允許修改通過噴灑頭的熱通量。
桿304亦可具有用於插入加熱元件的一或多個開口,亦即如圖3A及圖3B中說明的加熱元件開口314。此開口314被密封而與歧管區域316隔離。當將加熱元件(圖5中的元件504;圖3A及圖3B中未圖示)安裝至開口314中時,其變為與桿304及/或背板306熱耦接。加熱元件至面板308的熱耦接係經由桿304及背板306來建立。在某些實施例中,加熱開口314經組態以容納筒形加熱器(cartridge heater)。舉例而言,開口314可具有之直徑為約0.25英吋與0.5英吋之間,或更具體言之約0.35英吋與0.4英吋之間,且可具有的深度為約3英吋與7英吋之間,或更具體言之約4英吋與6英吋之間。
圖4B說明根據某些實施例之面板308的仰視圖。面板308具有複數個孔或穿孔406以提供自歧管區域316進入沈積腔室的過程氣體的均勻施配。圖4B中展示此類孔的特定實例。該等通孔可(例如)經機械加工、研磨或鑽孔而成。每一孔之直徑可在約0.01英吋與0.25英吋之間,或更具體言之約0.02英吋與0.1英吋之間。在特定實施例中,孔406的直徑為約0.04英吋(直徑)。一些孔可具有與其他孔不同的大小。舉例而言,孔大小可隨著遠離氣體饋送管線310而增加。孔之數目可在約100與10,000之間,或更具體言之在約1,000與5,000之間。在特定實施例中,孔的數目在約3,750與4,000之間。孔可以各種圖案在整個面板308上均勻散佈,例如蜂巢圖案或逐漸變大的圓。在特定實施例中,建立圖案之圓的數目在約5與50個圓之間,或更具體言之約20與40個圓之間,或更加具體言之約25與30個圓之間。舉例而言,一個孔可位於中心,隨後係定位於距中心孔相同距離處的6至15個孔(亦即,圓形圖案),隨後係同樣定位於距中心孔相同距離處的另外12至30個孔,但此第二距離係第一距離的兩倍,等等。在某些實施例中,第一距離在約0.20英吋與0.30英吋之間。此外,孔406可形成具有不均勻散佈的各種圖案,諸如與面板之中間相比在邊緣周圍更密集填充,或反之亦然。一般而言,面板308中的孔406的散佈取決於各種因素,諸如所需膜均勻性、膜型面及過程氣體參數(例如,黏度、流動速率)。
在一些實施例中,面板308以可移除方式附接至背板306以使得可由於壽命結束而更換面板308,或提供新的孔圖案。面板308之背面可包括配合特徵以與背板306附接及分離。舉例而言,一個合適的配合特徵可為凹槽及帶螺紋盲孔。根據此實例,凹槽可配合至背板306上的對應唇緣上。背板306或面板308上的螺釘孔沿圓周定位且匹配在配合板上的孔。螺釘將背板306與面板308附接在一起。沿圓周定位之螺釘的數目可為至少約4、至少約10、至少約24或至少約50。可使用用於背板306及面板308的其他配合特徵。舉例而言,其他緊固機構可包括條帶或夾,或可使用簡單的基於摩擦的嚙合,其中面板308之尺寸緊密匹配於背板306中的對應容器(receptacle)的尺寸。將面板附接至背板的額外細節在2008年7月29日申請的美國專利申請案第12/181,927號中描述,該美國專利申請案為了描述面板附接的目的全文以引用的方式併入本文中。在某些其他實施例中,不可自背板306移除面板308。舉例而言,該兩個元件可由同一材料塊製造,或在製造之後整合在一起(例如,焊接、熔接、按壓)。面板308與背板306之間的永久附接可提供該兩個組件之間的增強的熱傳遞。
圖5說明根據某些實施例之溫度受控噴灑頭總成500。除了上文描述的包括桿304、背板306及面板308的噴灑頭以外,總成500亦可包括加熱元件504、熱交換器502及溫度感測器506。此等元件中之任一者可為可移除的。
加熱元件504熱耦接至桿304及/或背板306。在某些實施例中,加熱元件504為定位於桿之加熱元件開口內的一或多個筒形加熱器。舉例而言,可使用兩個筒形加熱器,其組合功率輸出在約250瓦與2,500瓦之間,或更具體言之約500瓦與1,500瓦之間。在某些實施例中,加熱元件包括RF絕緣物,例如藉由使用EMI/RFI濾波器或任何其他市售的RF隔離器件。
如圖5中所示,熱電偶506可插入穿過桿304中的開口且延伸穿過背板306。在某些實施例中,整個溫度感測器開口312由同一材料塊進行機械加工。在一些實施例中已發現,焊接或以另外方式整合熱電偶套管的單獨零件容易在噴灑頭的熱循環期間破裂,且可能導致熱電偶的真空洩漏及/或故障,從而可能導致系統的熱逸散。熱電偶506之直徑平均可在約0.05英吋與0.25英吋之間,或更具體言之約0.10英吋與0.20英吋之間。熱電偶之長度由桿304及背板306的設計決定,且通常允許熱電偶一直延伸至開口312的底部(見圖3A)。在某些實施例中,熱電偶506之長度在約4英吋與8英吋之間,或更具體言之約6英吋與7英吋之間。可代替熱電偶506使用的溫度感測器件的另一實例係無接觸溫度感測器(例如,高溫測定法、基於螢光的測溫法或紅外線測溫法)。
熱電偶506亦可絕緣且隔離於RF。RF隔離可經由在一個頻率下操作RF陷波器且在另一頻率下操作RF濾波器來實現。通常,在PECVD操作中施加的RF具有兩個頻率分量:高頻(例如,13.56 MHz)陷波及低頻(例如,400 kHz)陷波。RF隔離器件可包括一或多個濾波器。在一個實施例中,RF隔離器件包括高頻及低頻濾波器。在無RF隔離的情況下,咸信熱電偶量測將無效,此係因為來自電漿產生器的RF干擾將太大。
圖6中展示RF隔離器件之可能組態的示意圖。熱電偶506/601由不鏽鋼護套(sheath)圍繞。此護套纏繞至與電容器605並聯的線圈603。線圈充當電感器且電容器形成諧振電路,其阻擋13.56 MHz信號。線圈可具有約1微亨之電感,且電容器605可具有約85 pf(皮法)的電容。其餘13.56 MHz RF以第二電容器607短接至接地609,第二電容器607可具有約10000 pf的電容。以護套對高頻進行陷波亦阻擋嵌入此護套中的熱電偶線中的RF。400 kHz頻率不被603/605濾波器阻擋,且由於其較低頻率而不藉由電容器607短接至接地。因此在13.56 MHz濾波器的末端處,仍存在400 kHz雜訊,其隨後被低頻濾波器611過濾掉。在一種設計中,低頻濾波器可為兩級低通濾波器。兩個級均可為類似於高頻濾波器的LC設計。請注意,低頻濾波器可直接連接至熱電偶線,但高頻濾波器僅可連接至護套。
返回至圖5,為了將面板308維持在實質上低於基板及支座的溫度,有時需要自面板308移除一些熱。經由背板306及桿304在面板308與熱交換器502之間提供熱路徑。熱交換器502經組態以自桿304移除熱或在某些實施例中向桿304遞送熱。此外,可能由於輻射而自背板及桿的暴露表面移除一些熱。現在將更詳細論述此等熱移除特徵中的每一者。
熱交換器502可定位於桿304上以使得兩個組件熱耦接。舉例而言,熱交換器502及桿304可具有約20 cm2與28 cm2之間的接觸表面(例如,在桿的頂部處形成安裝表面的熱交換器,或桿周圍的套管)。可在不影響系統之其他組件或沈積腔室之環境的情況下容易自桿移除熱交換器502。
可藉由使冷卻流體循環經過熱交換器502來控制熱交換器502中的溫度。冷卻流體之實例包括水、防凍溶液及各種冷卻氣體(例如,乾潔空氣(CDA)、氬氣、氦氣、氮氣、氫氣或其混合物)。在特定實施例中,冷卻流體為以至少約0.5加侖/分鐘(GPM)之流動速率在約15℃與30℃之間供應至熱交換器中的水。應瞭解,冷卻流體之溫度及流動速率可經調整以控制熱交換器502與桿304之間的熱通量。在某些實施例中,冷卻流體可另外以外部冷凍器來冷卻或以外部加熱器來加熱。此外,控制進入熱交換器502中之冷卻流體的流動速率的閥510可經調整以打開或限制流量,如下文所述。
在某些要求較低之應用中,熱交換器502可單獨地用以控制噴灑頭溫度(亦即,在桿中不提供加熱元件)。舉例而言,噴灑頭可自其他外部元件(例如,基板)來加熱,且熱交換器僅用以冷卻噴灑頭。在其他實施例中,熱交換器可經組態以藉由供應各種溫度下的循環流體來提供加熱及冷卻兩者。在其他實施例中,總成包括如上所述的一或多個加熱元件504。
除了由熱交換器提供的冷卻以外,熱亦可輻射離開噴灑頭表面。為了改良輻射冷卻,桿及/或噴灑頭之外表面可以高發射率材料塗佈。舉例而言,塗層可為陽極氧化鋁。輻射由大體上比噴灑頭組件冷得多(例如,室溫左右)之腔室的壁吸收。腔室頂部亦可用高發射率材料來處理以增加輻射熱傳遞。腔室頂部之內表面亦可用(例如)陽極氧化鋁來塗佈。腔室頂部可(例如)用冷卻水管線來獨立地冷卻。
在某些實施例中,總成500包括溫度控制器508。控制器508可用以自熱電偶506讀取溫度資訊,且調整遞送至加熱器504之功率及/或通過熱交換器502之冷卻流體的流動速率。舉例而言,若控制器508感測到面板308之溫度實質上低於設定點(例如,使沈積腔室進入操作條件),則其可關掉(或某種程度上關閉)閥510且增加供應至加熱器504的功率。
控制器508亦可連接至量測冷卻流體流動速率、冷卻流體在進入及/或離開熱交換器時的溫度及其他過程參數的感測器。舉例而言,溫度控制器508亦可取得前饋資訊。前饋資訊可為電漿開啟之前的時間週期。在一些情況下,前饋資訊亦可包括影響噴灑頭溫度的其他可預測之事件,諸如關於冷晶圓的晶圓處理或進入噴灑頭的氣流。舉例而言,控制器508可預期冷卻事件(例如,腔室清洗)而增加加熱器輸出,或預期加熱事件(例如,電漿「接通」)而減小加熱器輸入。控制器508亦可藉由預期加熱事件而增加冷卻流體流量來增加冷卻,或藉由預期冷卻事件而減小冷卻流體流量來減小冷卻。
在不同之控制方案中可使用輸入與輸出組件的各種組合。舉例而言,主動冷卻(調變冷卻流體流量)可與主動加熱(背板中的加熱器)一起使用以準確地控制噴灑頭溫度。噴灑頭溫度可自附接至面板的熱電偶直接量測,或自退出之冷卻流體溫度間接確定。在一些情況下,控制系統中可僅包括主動冷卻或僅包括主動加熱。可包括另外其他輸入,諸如入口處的冷卻流體之溫度感測,以準確地確定自噴灑頭移除的熱。
圖7說明根據本發明之某些實施例的沈積系統700的實例。系統700之實例包括可自加利福尼亞州聖何塞市的Novellus Systems公司購得的VECTOR ExpressTM系統及VECTOR ExtremeTM系統。這兩個系統亦可以可灰化硬遮罩(AHM)組態使用。應注意,上文描述之新穎噴灑頭可在不具有原位電漿的CVD系統(例如,熱CVD、遠端電漿增強型CVD)及在具有原位電漿的CVD系統(例如,PECVD、微波電漿輔助CVD)中使用。為了簡明起見,圖7中說明且下文描述PECVD實例。然而應注意,本發明不限於此類型之CVD系統。
如圖所示,系統700包括處理腔室718,其封閉系統700的其他組件,且在某些實施例中用以收容電漿。腔室718含有噴灑頭714及其他過程氣體遞送硬體、基板支座720及感測器724。可選之原位電漿產生器716,諸如低頻RF產生器及/或高頻RF產生器,可連接至噴灑頭714及/或支座720。功率及頻率足以自過程氣體產生電漿,例如用於沈積的400瓦至8000瓦總能量,及用於電漿退火的較高功率。在某些實施例中,在沈積期間不使用產生器,例如,沈積在「暗」或無電漿條件下發生。在電漿退火步驟期間,可使用一或多個HF、MF及LF產生器。舉例而言,在典型製程中,高頻RF分量大體上在2至60 MHz之間;在較佳實施例中,該分量為13.56 MHz。
在處理腔室718內,支座720支撐基板721。支座720通常包括卡盤,及用以在沈積及/或電漿處理反應期間及之間升高及降低基板721的起模頂桿。卡盤可為靜電卡盤、機械卡盤、真空卡盤或可在工業及/或研究中使用的各種其他類型的卡盤。
自一或多個過程氣體源702經由噴灑頭714將過程氣體引入至腔室718中。源702可包括閥及質量流量控制器(MFC)。其可由系統控制器722以如下方式控制:處理腔室中達成過程氣體的濃度或部分壓力的所需比率。反應產物及其他氣體經由出口726退出腔室718。真空泵(例如,一級或兩級機械乾式泵及/或渦輪分子泵)通常將過程氣體抽出,且藉由諸如節流閥或擺式閥(pendulum valve)之閉環控制流量限制器件來維持處理腔室內的適當低的壓力。
腔室718可包括用於感測各種過程參數的感測器724,該等參數諸如為基板721及支座的溫度、腔室壓力、腔室內部的過程氣體的濃度及其他參數。感測器724可將所感測資訊提供至系統控制器722。感測器724的實例包括殘餘氣體分析器、壓力感測器、熱電偶、紅外線高溫計及其他感測器。應注意,其他感測器可包括於如上所述的噴灑頭714中。
在某些實施例中,採用系統控制器722來控制過程參數。系統控制器722通常包括一或多個記憶體器件及一或多個處理器。處理器可包括CPU或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板等。通常,將存在與系統控制器722相關聯的使用者介面。使用者介面可包括顯示屏、裝置及/或過程條件的圖形軟體顯示,及諸如指標器件、鍵盤、觸摸屏、麥克風等使用者輸入器件。雖然系統控制器722展示為連接至電漿產生器716,但其放置及連接性可基於特定實施方案而變化。
在某些實施例中,系統控制器722併入有上文描述之溫度控制器(圖5中的元件508)的一些或全部功能。舉例而言,系統控制器722可搜集關於面板之溫度的資訊且使用此資訊來調整加熱器輸出及/或通過熱交換器的流量。系統控制器722執行包括指令集的系統控制軟體,該等指令用於控制特定過程的溫度、氣體及流體的流動速率、腔室壓力、基板溫度、各種操作的時序及其他參數。在一些實施例中可採用儲存在與控制器相關聯的記憶體器件上的其他電腦程式。
用於控制工序中之過程的電腦程式碼可以任何習知電腦可讀程式設計語言來撰寫:例如,組合語言、C、C++、Pascal、Fortran或其他語言。經編譯之目標碼或腳本由處理器執行以執行程式中識別的任務。系統軟體可以許多不同方式來設計或組態。舉例而言,可撰寫各種腔室組件子常式或控制物件以控制實行所描述過程所必要的腔室組件的操作。用於此目的之程式或程式片段的實例包括過程氣體控制碼、壓力控制碼及電漿控制碼。
控制器參數與以處方形式提供至使用者的過程條件相關,且可利用使用者介面來鍵入。用於監視過程的信號可由系統控制器722的類比及/或數位輸入連接提供。用於控制過程的信號在裝置700的類比及數位輸出連接上輸出。
裝置700可為多台或單台裝置。在多台組態中,腔室718可具有若干台,例如兩個台、三個台、四個台、五個台、六個台、七個台、八個台、十個台或任一其他數目個台。此數目通常由總體過程的複雜性及/或不同操作共用同一環境的能力決定。在某些實施例中,多台裝置中的兩個或兩個以上台暴露於同一處理環境(例如,壓力)下。然而,每一台可具有藉由專用電漿產生器及受熱支座達成的個別局部電漿及/或加熱條件。
在某些實施例中,裝置700可為多腔室系統的一部分。舉例而言,系統可具有兩個、三個或甚至四個單獨腔室,其中每一腔室中有一或多個台。每一腔室可具有一或多個對應的傳送口(例如,裝載鎖定)以便獨立地控制每一腔室中的內部環境。
結論
雖然已為了理解清楚的目的而以某種細節描述了上述發明,但將顯見,在所附申請專利範圍的範疇內可實踐特定改變及修改。應注意,存在實施本發明的過程、系統及裝置的許多替代方式。因此,本發明實施例應被視為說明性而非限制性的,且本發明不限於本文給出的細節。
102...線
104...線
106...線
108...線
110...線
300...溫度受控噴灑頭
304...熱傳導桿
306...背板
308...面板
310...過程氣體饋送開口
312...溫度感測器開口
314...加熱元件開口
316...歧管區域
402...分隔物/間隔物
406...孔或穿孔
500...溫度受控噴灑頭總成
502...熱交換器
504...加熱元件
506...溫度感測器/熱電偶
508...溫度控制器
510...閥
601...熱電偶
603...線圈
605...電容器
607...第二電容器
609...接地
611...低頻濾波器
700...沈積系統
702...過程氣體源
714...噴灑頭
716...電漿產生器
718...腔室
720...基板支座
721...基板
722...系統控制器
724...感測器
726...出口
DFRONT PLATE...面板之直徑
DSTEM...桿之平均直徑
D1BACK PLATE...直徑
HSTEM...桿之高度
H1BACK PLATE...厚度
H2BACK PLATE...厚度
TFRONT PLATE...面板之厚度
圖1係四台腔室中隨著時間的噴灑頭溫度的曲線圖。
圖2A係在各種噴灑頭溫度下沈積之氮化矽間隔物厚度的曲線圖。
圖2B係在各種噴灑頭溫度下沈積之氮化矽間隔物的膜應力的曲線圖。
圖3A係根據某些實施例的溫度受控噴灑頭的橫截面圖。
圖3B係根據某些實施例的溫度受控噴灑頭的俯視圖。
圖4A係根據某些實施例的面板的橫截面圖。
圖4B係根據某些實施例的面板的仰視圖。
圖5係根據某些實施例的溫度受控噴灑頭總成的示意圖。
圖6係根據某些實施例的用以減少或消除RF雜訊之RF濾波器的一個實施例的示意圖。
圖7係根據某些實施例之系統的示意圖。
300...溫度受控噴灑頭
304...熱傳導桿
306...背板
308...面板
310...過程氣體饋送開口
312...溫度感測器開口
314...加熱元件開口
316...歧管區域
DSTEM...桿之平均直徑
HSTEM...桿之高度
H1BACK PLATE...厚度
H2BACK PLATE...厚度
TFRONT PLATE...面板之厚度

Claims (33)

  1. 一種用於一化學氣相沈積(CVD)裝置中之溫度受控噴灑頭總成,該溫度受控噴灑頭總成包含:一熱傳導桿(stem);一背板,其附接至該熱傳導桿;一面板,其熱耦接至該熱傳導桿且附接至該背板,該背板包含三個或更多堆疊的實質實心(substantially solid)的圓盤,其直徑隨著該面板之附接處至該熱傳導桿之附接處減少,其中於該面板之該附接處之圓盤係經組態以將一氣流分佈至該面板;一可置換加熱元件,其位於該熱傳導桿內並與其熱耦接;一熱交換器,其位於該熱傳導桿並與其熱耦接;及一溫度感測器,其熱耦接至該面板,其中該溫度受控噴灑頭經組態以藉由在該加熱元件與該面板之間及該熱交換器與該面板之間提供熱傳遞路徑來將該面板的溫度維持於一預定範圍內。
  2. 如請求項1之溫度受控噴灑頭總成,其中該熱傳導桿之一實心橫截面沿著軸部分的長度平均為至少約5平方英吋。
  3. 如請求項1之溫度受控噴灑頭總成,其中選自由該熱傳導桿、該背板及該面板組成的群組的一或多個組件包含:具有至少約150瓦/(米.開爾文)(Watts per meter per Kelvin)之一熱導率的一材料。
  4. 如請求項1之溫度受控噴灑頭總成,其中選自由該熱傳導桿、該背板及該面板組成之群組的一或多個組件包含:選自由鋁合金代號鋁6061及鋁3003組成之群組的一材料。
  5. 如請求項1之溫度受控噴灑頭總成,其中該背板之一平均厚度為至少約2英吋。
  6. 如請求項1之溫度受控噴灑頭總成,其中該面板之平均厚度在約0.5英吋與1英吋之間。
  7. 如請求項1之溫度受控噴灑頭總成,其中該面板與該背板之間的一平均間隙在約0.25英吋與0.75英吋之間,其中位於該面板處之該附接處之該圓盤經組態以將氣流分佈至該面板及該背板之間的間隙。
  8. 如請求項1之溫度受控噴灑頭總成,其中該面板與該背板之間的一接觸面積在約30平方英吋與50平方英吋之間。
  9. 如請求項1之溫度受控噴灑頭總成,其中該面板具有約13.5英吋與16.5英吋之間的一直徑。
  10. 如請求項1之溫度受控噴灑頭總成,其中該熱交換器包含經組態以允許一冷卻流體之一流動的一對流冷卻流體通路。
  11. 如請求項10之溫度受控噴灑頭總成,其中該冷卻流體選自由水及一液體防凍溶液組成的群組。
  12. 如請求項1之溫度受控噴灑頭總成,其中該熱交換器定位於距該面板約7英吋之範圍內。
  13. 如請求項1之溫度受控噴灑頭總成,其中該溫度受控噴灑頭總成經組態以針對該面板的在約0.2與0.8之間的一發射率而將該面板之該溫度維持在約200℃與300℃之間。
  14. 如請求項1之溫度受控噴灑頭總成,其中該加熱元件包含兩個筒形加熱器,每一筒形加熱器經組態以提供至少約500瓦之功率輸出。
  15. 如請求項1之溫度受控噴灑頭總成,其中該桿包含一頂面,且其中該加熱元件經組態以藉由該頂面而放置於該桿中及自該桿移除。
  16. 如請求項1之溫度受控噴灑頭總成,其中該桿包含一頂面,且其中該溫度感測器定位於該桿內且經組態以經由該頂面而放置於該桿中及自該桿移除。
  17. 如請求項1之溫度受控噴灑頭總成,其中選自由該桿及該背板組成之群組的一或多個元件的一外表面包含一高發射率表面。
  18. 如請求項17之溫度受控噴灑頭總成,其中該高發射率表面係陽極氧化鋁。
  19. 如請求項1之溫度受控噴灑頭總成,其中該面板具有經組態以用於過程氣體之均勻施配的複數個通孔。
  20. 如請求項1之溫度受控噴灑頭總成,其中可自該溫度受控噴灑頭總成移除該加熱元件。
  21. 如請求項1之溫度受控噴灑頭總成,其中可自該溫度受控噴灑頭總成之熱傳導桿移除該熱交換器。
  22. 如請求項1之溫度受控噴灑頭總成,其中可自該溫度受控噴灑頭總成移除該溫度感測器。
  23. 如請求項1之溫度受控噴灑頭總成,其中該等堆疊的圓盤之每一者具有一不同的厚度。
  24. 一種用於一化學氣相沈積(CVD)裝置中之溫度受控噴灑頭總成,該溫度受控噴灑頭總成包含:一熱傳導桿,其包含鋁合金代號鋁6061;一背板,其包含鋁合金代號鋁6061且具有至少約2英吋之一平均厚度,其中該背板附接至該熱傳導桿;一面板,其包含鋁合金代號鋁6061且具有約0.5英吋與1英吋之間的一平均厚度,其中該面板熱耦接至該熱傳導桿且附接至該背板,其中該面板與該背板之間的一平均間隙在約0.25英吋與0.75英吋之間,該背板包含三個或更多堆疊的實質實心的圓盤,其直徑隨著該面板之附接處至該熱傳導桿之附接處減少,其中於該面板處的圓盤經組態以將一氣流分佈至該面板及該背板之間的該間隙;一可置換加熱元件,其包含兩個筒形加熱器,每一筒形加熱器經組態以提供至少約500瓦之功率輸出,其中該加熱元件位於該熱傳導桿內並與其熱耦接;一熱交換器,其位於該熱傳導桿並與其熱耦接;及一溫度感測器,其熱耦接至該面板,其中該溫度受控噴灑頭經組態以藉由在該加熱元件與該面板之間及該熱交換器與該面板之間提供熱傳遞路徑 來將該面板之溫度維持於一預定範圍內。
  25. 如請求項24之溫度受控噴灑頭總成,其中該等堆疊的圓盤之每一者具有一不同的厚度。
  26. 一種用於在一部分製造之半導體基板上沈積一半導體材料之化學氣相沈積(CVD)系統,該CVD系統包含:一處理腔室,其經組態以維持該處理腔室內的一低壓力環境;一基板支撐件,其用於固持該部分製造之半導體基板且將該部分製造之半導體基板的一溫度維持在約500℃與600℃之間;一溫度受控噴灑頭總成,其包含:一熱傳導桿;一背板,其附接至該熱傳導桿;一面板,其熱耦接至該熱傳導桿且附接至該背板,該背板包含三個或更多堆疊的實質實心的圓盤,其直徑隨著該面板之附接處至該熱傳導桿之附接處減少,其中於該面板之該附接處之圓盤係經組態以將一氣流分佈至該面板;一可置換加熱元件,其位於該熱傳導桿內並與其熱耦接;一熱交換器,其位於該熱傳導桿並與其熱耦接;及一溫度感測器,其熱耦接至該面板,其中該溫度受控噴灑頭經組態以藉由在該加熱元件與該面板之間及該熱交換器與該面板之間提供熱傳遞 路徑來將該面板之該溫度維持於一預定範圍內。
  27. 如請求項26之CVD系統,其中該溫度受控噴灑頭的該面板定位於距該基板支撐件約0.7英吋之範圍內,且其中該溫度受控噴灑頭經組態以在該基板支撐件維持於約500℃與550℃之間的同時將該面板之該溫度維持於約200℃與300℃之間。
  28. 如請求項26之CVD系統,其進一步包含一原位(in-situ)電漿產生器。
  29. 如請求項26之CVD系統,其中該CVD系統係一單台沈積系統。
  30. 如請求項26之CVD系統,其進一步包含一第二基板支撐件。
  31. 如請求項30之CVD系統,其中該基板支撐件及該第二基板支撐件定位於該處理腔室內部且經組態以暴露於同一低壓力環境。
  32. 如請求項30之CVD系統,其進一步包含經組態以維持與該處理腔室不同的一環境的一第二處理腔室,其中該基板支撐件定位於該處理腔室中且該第二基板支撐件定位於該第二處理腔室中。
  33. 如請求項26之CVD系統,其中該等堆疊的圓盤之每一者具有一不同的厚度。
TW099144608A 2009-12-18 2010-12-17 應用於高溫操作之溫度控制噴灑頭 TWI523973B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/642,497 US9034142B2 (en) 2009-12-18 2009-12-18 Temperature controlled showerhead for high temperature operations

Publications (2)

Publication Number Publication Date
TW201132793A TW201132793A (en) 2011-10-01
TWI523973B true TWI523973B (zh) 2016-03-01

Family

ID=44149285

Family Applications (2)

Application Number Title Priority Date Filing Date
TW104138639A TWI572740B (zh) 2009-12-18 2010-12-17 應用於高溫操作之溫度控制噴灑頭
TW099144608A TWI523973B (zh) 2009-12-18 2010-12-17 應用於高溫操作之溫度控制噴灑頭

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW104138639A TWI572740B (zh) 2009-12-18 2010-12-17 應用於高溫操作之溫度控制噴灑頭

Country Status (5)

Country Link
US (2) US9034142B2 (zh)
KR (1) KR101787100B1 (zh)
CN (1) CN102102194B (zh)
SG (1) SG172576A1 (zh)
TW (2) TWI572740B (zh)

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8137467B2 (en) * 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
US20090260571A1 (en) * 2008-04-16 2009-10-22 Novellus Systems, Inc. Showerhead for chemical vapor deposition
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
US9034142B2 (en) 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
KR101937115B1 (ko) 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US9947512B2 (en) * 2011-10-25 2018-04-17 Lam Research Corporation Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber
US20130115372A1 (en) * 2011-11-08 2013-05-09 Primestar Solar, Inc. High emissivity distribution plate in vapor deposition apparatus and processes
CN103068138A (zh) * 2011-12-31 2013-04-24 长春吉大·小天鹅仪器有限公司 一种mpt微波能量真空管水冷装置
CN102534567B (zh) 2012-03-21 2014-01-15 中微半导体设备(上海)有限公司 控制化学气相沉积腔室内的基底加热的装置及方法
US20130316094A1 (en) * 2012-05-25 2013-11-28 Novellus Systems, Inc. Rf-powered, temperature-controlled gas diffuser
JP5940375B2 (ja) * 2012-06-01 2016-06-29 シャープ株式会社 気相成長装置および窒化物半導体発光素子の製造方法
CN103628046B (zh) * 2012-08-24 2015-11-11 中微半导体设备(上海)有限公司 一种调节基片表面温度的控温系统和控温方法
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
TWI473903B (zh) 2013-02-23 2015-02-21 Hermes Epitek Corp 應用於半導體設備的噴射器與上蓋板總成
US9865501B2 (en) 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US9070750B2 (en) 2013-03-06 2015-06-30 Novellus Systems, Inc. Methods for reducing metal oxide surfaces to modified metal surfaces using a gaseous reducing environment
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9469912B2 (en) 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9472377B2 (en) 2014-10-17 2016-10-18 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
US9570289B2 (en) 2015-03-06 2017-02-14 Lam Research Corporation Method and apparatus to minimize seam effect during TEOS oxide film deposition
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US20170002465A1 (en) * 2015-06-30 2017-01-05 Lam Research Corporation Separation of Plasma Suppression and Wafer Edge to Improve Edge Film Thickness Uniformity
US10373794B2 (en) 2015-10-29 2019-08-06 Lam Research Corporation Systems and methods for filtering radio frequencies from a signal of a thermocouple and controlling a temperature of an electrode in a plasma chamber
CN105483620B (zh) * 2015-11-27 2018-03-30 京东方科技集团股份有限公司 喷嘴部件、蒸镀装置及制作有机发光二极管器件的方法
US10266947B2 (en) * 2016-08-23 2019-04-23 Lam Research Corporation Rotary friction welded blank for PECVD heated showerhead
US9824884B1 (en) 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10607817B2 (en) * 2016-11-18 2020-03-31 Applied Materials, Inc. Thermal repeatability and in-situ showerhead temperature monitoring
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
TWI815813B (zh) * 2017-08-04 2023-09-21 荷蘭商Asm智慧財產控股公司 用於分配反應腔內氣體的噴頭總成
US10867812B2 (en) * 2017-08-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor manufacturing system and control method
US10907252B2 (en) 2017-10-23 2021-02-02 Applied Materials, Inc. Horizontal heat choke faceplate design
KR20190067356A (ko) * 2017-12-07 2019-06-17 삼성전자주식회사 막 형성 장치
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
KR102242820B1 (ko) * 2017-12-20 2021-04-21 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법
KR20190090414A (ko) * 2018-01-24 2019-08-02 삼성디스플레이 주식회사 증착 장치
US10889894B2 (en) 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
DE102018121854A1 (de) 2018-09-07 2020-03-12 Aixtron Se Verfahren zum Einrichten oder zum Betrieb eines CVD-Reaktors
KR20200099688A (ko) * 2019-02-15 2020-08-25 이도형 진공증착장비
CN111763927B (zh) * 2019-04-01 2023-04-07 上海先进半导体制造有限公司 Lpcvd炉管法兰温控装置及lpcvd炉设备
KR20220051236A (ko) * 2019-08-23 2022-04-26 램 리써치 코포레이션 열 제어된 (thermally controlled) 샹들리에 샤워헤드
US20210335581A1 (en) * 2020-04-22 2021-10-28 Applied Materials, Inc. Preclean chamber upper shield with showerhead
US11242600B2 (en) 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
CN114790543A (zh) * 2021-01-26 2022-07-26 Asm Ip私人控股有限公司 用于沉积层的方法和系统
US20240062993A1 (en) * 2022-08-16 2024-02-22 Eugenus, Inc. Temperature-controlled showerhead assembly for cyclic vapor deposition
WO2024050248A1 (en) * 2022-08-30 2024-03-07 Lam Research Corporation A temperature controlled shower head for a processing tool

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL287968A (zh) * 1962-03-15
GB2112715B (en) * 1981-09-30 1985-07-31 Shinshu Seiki Kk Ink jet recording apparatus
JPH067542B2 (ja) * 1984-11-22 1994-01-26 株式会社日立製作所 製造装置
FR2682047B1 (fr) * 1991-10-07 1993-11-12 Commissariat A Energie Atomique Reacteur de traitement chimique en phase gazeuse.
US5446824A (en) * 1991-10-11 1995-08-29 Texas Instruments Lamp-heated chuck for uniform wafer processing
US5376213A (en) * 1992-07-28 1994-12-27 Tokyo Electron Limited Plasma processing apparatus
JP3174438B2 (ja) 1993-08-03 2001-06-11 松下電器産業株式会社 プラズマcvd方法
US5452396A (en) * 1994-02-07 1995-09-19 Midwest Research Institute Optical processing furnace with quartz muffle and diffuser plate
US5468298A (en) * 1994-04-13 1995-11-21 Applied Materials, Inc. Bottom purge manifold for CVD tungsten process
TW331652B (en) * 1995-06-16 1998-05-11 Ebara Corp Thin film vapor deposition apparatus
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US5653479A (en) * 1996-02-02 1997-08-05 Vlsi Technology, Inc. Vacuum seal for a ball junction
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5834068A (en) * 1996-07-12 1998-11-10 Applied Materials, Inc. Wafer surface temperature control for deposition of thin films
US5806980A (en) * 1996-09-11 1998-09-15 Novellus Systems, Inc. Methods and apparatus for measuring temperatures at high potential
KR100492258B1 (ko) * 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
US6112697A (en) * 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US6289842B1 (en) * 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6409837B1 (en) 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
KR100302609B1 (ko) * 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6237528B1 (en) * 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
JP3578398B2 (ja) * 2000-06-22 2004-10-20 古河スカイ株式会社 成膜用ガス分散プレート及びその製造方法
US6379056B1 (en) * 2000-09-12 2002-04-30 Tokyo Electron Limited Substrate processing apparatus
KR101004173B1 (ko) * 2001-02-09 2010-12-24 도쿄엘렉트론가부시키가이샤 성막 장치
US20020144783A1 (en) * 2001-04-05 2002-10-10 Applied Materials, Inc. Apparatus and method for accelerating process stability of high temperature vacuum processes after chamber cleaning
EP1391140B1 (en) 2001-04-30 2012-10-10 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US20030047282A1 (en) * 2001-09-10 2003-03-13 Yasumi Sago Surface processing apparatus
US6986324B2 (en) 2001-10-19 2006-01-17 Hydropac/Lab Products, Inc. Fluid delivery valve system and method
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US6883733B1 (en) * 2002-03-28 2005-04-26 Novellus Systems, Inc. Tapered post, showerhead design to improve mixing on dual plenum showerheads
US6921556B2 (en) * 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
JP4186536B2 (ja) * 2002-07-18 2008-11-26 松下電器産業株式会社 プラズマ処理装置
KR100454281B1 (ko) 2002-12-24 2004-10-26 한전기공주식회사 보일러 화염감지기 교정장치
US7296534B2 (en) * 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
JP4493932B2 (ja) * 2003-05-13 2010-06-30 東京エレクトロン株式会社 上部電極及びプラズマ処理装置
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050221000A1 (en) 2004-03-31 2005-10-06 Tokyo Electron Limited Method of forming a metal layer
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US20060027169A1 (en) 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control
KR100628888B1 (ko) * 2004-12-27 2006-09-26 삼성전자주식회사 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
WO2008016836A2 (en) * 2006-07-29 2008-02-07 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
SG152163A1 (en) 2007-10-16 2009-05-29 Novellus Systems Inc Temperature controlled showerhead
US20090095218A1 (en) * 2007-10-16 2009-04-16 Novellus Systems, Inc. Temperature controlled showerhead
US8137467B2 (en) * 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US20090260571A1 (en) * 2008-04-16 2009-10-22 Novellus Systems, Inc. Showerhead for chemical vapor deposition
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
KR101598332B1 (ko) * 2009-07-15 2016-03-14 어플라이드 머티어리얼스, 인코포레이티드 Cvd 챔버의 유동 제어 피쳐
US9034142B2 (en) 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations

Also Published As

Publication number Publication date
US9034142B2 (en) 2015-05-19
SG172576A1 (en) 2011-07-28
KR20110070826A (ko) 2011-06-24
KR101787100B1 (ko) 2017-10-18
TW201608054A (zh) 2016-03-01
CN102102194B (zh) 2015-04-01
CN102102194A (zh) 2011-06-22
TWI572740B (zh) 2017-03-01
US20150218701A1 (en) 2015-08-06
TW201132793A (en) 2011-10-01
US20110146571A1 (en) 2011-06-23

Similar Documents

Publication Publication Date Title
TWI523973B (zh) 應用於高溫操作之溫度控制噴灑頭
US10584415B2 (en) Temperature controlled showerhead
KR200454281Y1 (ko) 온도 제어 샤워헤드
US11692732B2 (en) Air cooled faraday shield and methods for using the same
KR102422656B1 (ko) 프로세스 균일성을 증대하기 위한 방법 및 시스템
CN201343570Y (zh) 温控喷淋头
US11257693B2 (en) Methods and systems to improve pedestal temperature control
US20160056032A1 (en) Methods and apparatuses for stable deposition rate control in low temperature ald systems by showerhead active heating and/or pedestal cooling
US8083855B2 (en) Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
US20090095218A1 (en) Temperature controlled showerhead
US20140272341A1 (en) Thermal treated sandwich structure layer to improve adhesive strength
US20160343595A1 (en) Corrosion resistant gas distribution manifold with thermally controlled faceplate
TWM361710U (en) Temperature controlled showerhead
JP3147392U (ja) 温度制御型シャワーヘッド