CN102102194A - 用于高温操作的温度受控莲蓬头 - Google Patents

用于高温操作的温度受控莲蓬头 Download PDF

Info

Publication number
CN102102194A
CN102102194A CN2010106021022A CN201010602102A CN102102194A CN 102102194 A CN102102194 A CN 102102194A CN 2010106021022 A CN2010106021022 A CN 2010106021022A CN 201010602102 A CN201010602102 A CN 201010602102A CN 102102194 A CN102102194 A CN 102102194A
Authority
CN
China
Prior art keywords
shower nozzle
panel
controlled temperature
nozzle subassembly
bar
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010106021022A
Other languages
English (en)
Other versions
CN102102194B (zh
Inventor
克里斯托弗·M·巴特利特
李明
乔恩·亨利
马歇尔·R·斯托厄尔
默罕默德·萨布里
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
ASM Nutool Inc
Original Assignee
ASM Nutool Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Nutool Inc filed Critical ASM Nutool Inc
Publication of CN102102194A publication Critical patent/CN102102194A/zh
Application granted granted Critical
Publication of CN102102194B publication Critical patent/CN102102194B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种用于化学气相沉积(CVD)腔室的温度受控莲蓬头组合件增强热耗散以提供对莲蓬头面板的准确温度控制,且维持大体上低于周围组件的温度。热通过经由莲蓬头杆的传导而耗散且由安装在真空环境外的热交换器移除。热由插入到所述莲蓬头的所述杆中的加热元件供应。使用由安装在所述杆中且与所述面板热接触的温度传感器供应的反馈来控制温度。

Description

用于高温操作的温度受控莲蓬头
相关申请案的交叉参考
本申请案主张2009年12月18日申请的第12/642,497号美国专利申请案的优先权,且所述专利申请案以引用的方式并入本文中。
背景技术
化学气相沉积(CVD)腔室通常包含莲蓬头,其具有穿孔或多孔平面表面以在例如半导体衬底表面等第二平行平面表面上以均匀方式分配反应物和载气。衬底可经加热到前驱体反应而导致沉积在衬底表面上的膜的过程温度。莲蓬头反应器或平行板反应器有助于实施等离子增强型工艺,例如等离子增强型化学气相沉积(PECVD)。衬底支撑件(例如,支座)可经接地且用作电极中的一者。莲蓬头可用作RF功率所施加到的另一电极。在另一配置中,RF功率可施加于衬底支撑件,而莲蓬头可接地。
沉积均匀性可能受到例如衬底和莲蓬头的温度等过程参数的变化的不利影响。这些变化可能在过程起始(例如,在到达稳定状态之前)、清洁循环和闲置期间出现。举例来说,当初始接通反应器时,莲蓬头的温度稳定可能要花费较长时间。莲蓬头可通过来自衬底(或衬底支座)的辐射和通过等离子来加热,所述等离子可在处理期间的某点引入,从而引起额外的温度波动。在同时,莲蓬头由于来自其外表面的辐射而可能将一些热释放到流经莲蓬头的过程气体。此外,温度变化可能由系统中的其它较持久改变引起,例如莲蓬头的表面发射率的漂移。可变温度可引起所沉积膜的实质不均匀性。此外,在高温下操作莲蓬头缩短了其操作寿命且导致粒子污染。举例来说,高于300℃的温度可导致铝莲蓬头表面上的氟化铝的快速形成。氟化铝趋于剥落且下落到下方的衬底上。
需要新的设备和方法来较精确地控制莲蓬头温度和在较低温度下操作莲蓬头。
发明内容
具有增强的热传递特征的温度受控CVD莲蓬头提供准确且稳定的温度控制,且减少由腔室中的变化引起的温度波动。此莲蓬头能够在操作环境中的改变扰动系统(例如,接通等离子产生器、将新衬底引入到支座上、改变过程气体的流动速率)时快速恢复到温度设定点。准确的温度控制改进了衬底与衬底间的均匀性。
使用温度传感器来监视面板温度且提供反馈以用于控制加热元件和/或热交换器。有效的热传递路径存在于面板与加热元件之间以及面板与热交换器之间,从而允许有效的热供应或从面板的热移除。热传递特性由背板和杆的大横截面型面促进。此外,例如铝6061-T6等具有高热传导率的材料用于元件的构造。由通过使高热容量冷却流体通过热交换器和安装在杆中的高功率加热元件而建立的温度梯度来驱动热传递。
与准确的温度控制相关的热传递和耗散特性允许在尽管存在从支座到面板的实质热通量的情况下与附近支座的温度相比在大体上更低(例如,约100℃与300℃之间)的温度下操作莲蓬头。较低温度延长了莲蓬头的操作寿命且最小化粒子污染。在某些实施例中,热耗散也是在背板和杆上提供高发射率外表面的结果。
可容易从莲蓬头移除加热元件、热交换器和温度传感器。在某些实施例中,可在不影响沉积腔室的内部环境的情况下替换这些组件。换句话说,在替换上述莲蓬头组合件组件中的一者或一者以上的同时,沉积腔室可维持在低操作压力下。可移除的组件简化了莲蓬头和总体沉积系统的故障查找和维护且最小化其停工时间。
在某些实施例中,一种用于在化学气相沉积(CVD)设备中使用的温度受控莲蓬头组合件包含:热传导杆;背板,其附接到所述热传导杆;面板,其热耦合到所述热传导杆且附接到所述背板;加热元件,其热耦合到所述热传导杆;热交换器,其热耦合到所述热传导杆;以及温度传感器,其热耦合到所述面板。所述温度受控莲蓬头可经配置以通过在所述可移除加热元件与所述面板之间和所述可移除热交换器与所述面板之间提供热传递路径来将所述面板的温度维持于预定范围内。面板可具有经配置以用于过程气体的均匀分配的多个通孔。在特定实施例中,加热元件、热交换器和/或温度传感器可从温度受控莲蓬头组合件移除。
所述热传导杆的实心横截面沿着轴部分的长度平均来说可为至少约5平方英寸。热传导杆、背板和/或面板可由具有至少约150瓦/(米·开尔文)的热传导率的材料制成。在特定实施例中,热传导杆、背板和/或面板由铝6061和铝3003制成。背板的平均厚度可为至少约2英寸,而面板的平均厚度在约0.5英寸与1英寸之间。所述面板与所述背板之间的平均间隙可在约0.25英寸与0.75英寸之间。所述面板与所述背板之间的接触面积可在约30平方英寸与50平方英寸之间。所述面板可具有约13.5英寸与16.5英寸之间的直径。
在某些实施例中,热交换器包含经配置以允许冷却流体的流动的对流冷却流体通路。所述冷却流体可为水或液体防冻溶液。在相同或其它实施例中,热交换器定位于距所述面板约7英寸内。温度受控莲蓬头组合件可经配置以针对所述面板的在约0.2与0.8之间的发射率而将所述面板的温度维持在约200℃与300℃之间。在某些实施例中,加热元件包含两个筒形加热器,每一筒形加热器经配置以提供至少约500W的功率输出。
杆可包含顶表面。加热元件可定位于所述杆内且经配置以通过所述顶表面而放置于所述杆中和从所述杆移除。此外,温度传感器可定位于所述杆内且经配置以通过所述顶表面而放置于所述杆中和从所述杆移除。
在某些实施例中,杆和/或背板的外表面具有高发射率。举例来说,所述高发射率表面可为阳极氧化铝。
在某些实施例中,一种用于在部分制造的半导体衬底上沉积半导体材料的化学气相沉积(CVD)系统包含:处理腔室,其经配置以维持所述处理腔室内的低压力环境;衬底支撑件,其用于固持所述部分制造的半导体衬底且将所述部分制造的半导体衬底的温度维持在约500℃与600℃之间;以及温度受控莲蓬头组合件。所述温度受控莲蓬头组合件可进一步包含:热传导杆;背板,其附接到所述热传导杆;面板,其热耦合到所述热传导杆且附接到所述背板;加热元件,其热耦合到所述热传导杆;热交换器,其热耦合到所述热传导杆;以及温度传感器,其热耦合到所述面板。所述温度受控莲蓬头经配置以通过在所述加热元件与所述面板之间和所述热交换器与所述面板之间提供热传递路径来将所述面板的温度维持于预定范围内。所述温度受控莲蓬头的所述面板可定位于距所述衬底支撑件约0.7英寸内。所述温度受控莲蓬头可经配置以在所述衬底支撑件维持于约500℃与550℃之间的同时将所述面板的温度维持于约200℃与300℃之间。在某些实施例中,CVD系统还包含原位等离子产生器。
在某些实施例中,CVD系统是单台沉积系统。在其它实施例中,CVD系统包含第二衬底支撑件。第一衬底支撑件和第二衬底支撑件可定位于同一处理腔室内且经配置以暴露于同一环境。在其它实施例中,CVD系统还包含经配置以维持不同的环境的第二处理腔室。第一和第二衬底支撑件可定位于不同的处理腔室(例如,第一处理腔室和第二处理腔室)中。
附图说明
图1是四台腔室中随着时间的莲蓬头温度的曲线图。
图2A是在各种莲蓬头温度下沉积的氮化硅间隔物厚度的曲线图。
图2B是在各种莲蓬头温度下沉积的氮化硅间隔物的膜应力的曲线图。
图3A是根据某些实施例的温度受控莲蓬头的横截面图。
图3B是根据某些实施例的温度受控莲蓬头的俯视图。
图4A是根据某些实施例的面板的横截面图。
图4B是根据某些实施例的面板的仰视图。
图5是根据某些实施例的温度受控莲蓬头组合件的示意图。
图6是根据某些实施例的用以减少或消除RF噪声的RF滤波器的一个实施例的示意图。
图7是根据某些实施例的系统的示意图。
具体实施方式
在以下描述中,陈述许多具体细节以便提供对本发明的详尽理解。本发明可在没有这些具体细节中的一些或全部的情况下实践。在其它实例中,未详细描述众所周知的过程操作以免不必要的混淆本发明。虽然将结合具体实施例来描述本发明,但将了解不希望将本发明限于所述实施例。
在本申请案中,术语“衬底”和“晶片”将可互换使用。以下详细描述假定本发明实施于半导体处理设备上。然而,本发明不受此限制。所述设备可用以处理各种形状、大小和材料的工件。除了半导体晶片以外,可利用本发明的其它工件包含例如显示器面平面印刷电路板等各种物件。
莲蓬头温度随着时间漂移且影响沉积反应速率和膜性质。图1是在无任何温度控制(即,不对莲蓬头施加加热或冷却)的情况下50晶片运行上的四台沉积腔室中的四个莲蓬头温度的曲线图。第一台莲蓬头温度对应于线102。第二台莲蓬头温度对应于线104。第三台莲蓬头温度对应于线106。且最终,第四台莲蓬头温度对应于线108。随着时间过去,第二、第三和第四台的莲蓬头温度增加,直到其在约3700秒时达到稳定状态温度为止。腔室中的等离子条件以线110表示为阶跃函数。初始地,在虚设沉积模式中提供等离子以加热莲蓬头,且在约10分钟之后晶片处理开始。在第一台中,温度在晶片处理开始之后开始逐渐减小,因为所述台处的每一传入晶片(其大体上比莲蓬头冷)在晶片被加热到过程温度时使腔室组件(包含莲蓬头)冷却。因此,后续台中的温度分布渐进地较高。第二台莲蓬头比第三台莲蓬头冷,因为到第二台的传入晶片比到第三台的传入晶片冷。对于所有的台,莲蓬头温度在某个时间之后达到平衡温度。
图1说明正在多台腔室中处理的衬底将在不同的台处经历不同的莲蓬头温度。同一问题在典型的单台腔室中出现。举例来说,莲蓬头温度可在所述台上沉积多个子层时波动。在沉积期间,莲蓬头中的热传递是受到支座温度、等离子存在及其功率以及其它因素影响的动态过程。如果不有效地控制,那么莲蓬头经历实质的温度偏差。在莲蓬头温度影响所沉积膜性质的情形中,在同一晶片上使用不同莲蓬头沉积的每一层可能导致不同性质。对莲蓬头温度的波动尤其敏感的一个CVD工艺实例是氮化硅间隔物的沉积。另一此实例是使用正硅酸乙酯(TEOS)前驱体的沉积。
由不同的莲蓬头温度引起的膜性质差异在以下两个实例中说明。图2A展示在不同莲蓬头温度下沉积的膜厚度的绘图。在所有其它过程参数保持恒定的情况下,较高的莲蓬头温度导致较厚的膜。因此,在晶片运行的开始(例如,在某个闲置时间或腔室清洁之后和在莲蓬头仍相对冷时)沉积的层将比一旦过程到达稳定状态时沉积的层薄。图2B说明莲蓬头温度对氮化硅间隔物膜的应力的影响。随着莲蓬头温度增加,应力水平减小。应力水平的变化可对装置性能(例如,晶体管)具有不利影响。
温度受控莲蓬头改进了单台和多台设备中的块体膜和个别子层两者的衬底到衬底均匀性,通过消除非处理延迟(例如,使温度稳定)而增加了处理量,通过在较低温度下操作莲蓬头而减少粒子污染,且允许对各种膜性质的较好控制。在某些配置中,较精确的控制确保腔室中的多个莲蓬头是以大体上类似的过程参数且接近于目标参数来操作。因此,不同子层的膜性质受到较好控制。
改进温度控制和提供较充足的热路径可用以减少莲蓬头的热循环。换句话说,莲蓬头温度可在沉积、闲置和/或清洁期间维持在相当的水平。热循环的减少增加了处理的处理量(通过最小化或消除温度斜升和稳定周期)且帮助减少因莲蓬头表面上的沉积物的剥落引起的粒子污染。莲蓬头表面上的沉积物具有与莲蓬头的材料不同的热膨胀系数,从而导致在热循环期间沉积物的剥落。
粒子污染也可通过降低莲蓬头的操作温度来减少。即使在紧密接近莲蓬头处在约400℃-600℃下处理衬底,与散热相关的有效热传递允许将莲蓬头的面板维持在约200℃与300℃之间。应注意,为了本文献的目的,针对面板提供莲蓬头温度参考(除非另外陈述)。通过将热从面板通过背板和杆传递到热交换器、通过来自背板的辐射和/或其组合来移除热。除了粒子污染的减少以外,某些CVD工艺需要较低的莲蓬头温度来实现特定膜性质。举例来说,降低莲蓬头温度改进了某些膜中的应力水平,如图2B证明。
大体上,存在两种主要类型的CVD莲蓬头:枝形吊灯(chandelier)型和齐平安装型。枝形吊灯型莲蓬头具有杆,其在一端附接到腔室的顶部且在另一端附接到面板。杆的一部分可从腔室顶部突出以用于连接气体管线和RF功率。齐平安装型莲蓬头集成到腔室的顶部中且通常不具有杆。本文献大体上涉及枝形吊灯型莲蓬头,然而应了解,某些特征也可在齐平安装型莲蓬头中使用,如所属领域的技术人员在给出本文提供的描述的情况下将容易了解。
莲蓬头温度在添加或移除热时改变。此热中的一些是以可控方式,例如基于当前登记温度和设定点来添加或移除。下文进一步描述的莲蓬头的各种组件实现此可控过程。然而,一些热由于周围条件的改变而传递,且必须对此传递进行补偿以便维持稳定温度。举例来说,在接通等离子时由于带电粒子与莲蓬头的碰撞而将热添加到莲蓬头。此外,可通过例如经处理晶片或支座等其它周围组件来加热莲蓬头。当较冷材料(例如,通过莲蓬头供应的反应物气体,或从加载锁(load-lock)或另一较冷的台引入的衬底)引入到腔室中时,莲蓬头释放热。此外,由于向其它腔室组件的传导(例如,通过莲蓬头杆材料到腔室顶篷)和辐射(例如,来自背板)而损失热。
图3A是根据本发明某些实施例的温度受控莲蓬头300的示意性横截面图。莲蓬头300可为图5的情形中进一步描述的莲蓬头组合件的一部分以及图7的情形中进一步描述的沉积系统的一部分。莲蓬头300包含热传导杆304、背板306以及面板308。杆304和背板306可为单独的机械组件或集成为单个主体。以类似方式,背板306和面板308可为单独的机械组件或集成为单个主体。举例来说,这些组件中的两者或两者以上可由单个材料块制造在一起,或在制造之后以这些组件无法容易分离的方式附接在一起(例如,焊接、按压、熔接在一起)。后一种方法可提供集成组件之间的较好热传递。然而,以可移除方式附接的组件可能在一个或一个以上组件可能需要周期性改变的实施例中是优选的。举例来说,面板308可以可移除方式附接到背板306以允许对歧管区域316的维护,以在一个面板磨损时替换面板308或用具有不同分配图案(例如,在图4B的情形中进一步描述的底表面上的孔图案)的另一面板替换面板308。以类似方式,背板306可以可移除方式附接到面板308和/或附接到杆304。在某些实施例中,可用具有不同热传递特性的不同背板来替换背板306。
在某些实施例中,杆304具有圆柱形形状,其平均直径(如图3A所示的DSTEM)在约2英寸与4英寸之间,或更具体来说约2.5英寸与3.5英寸之间,或更加具体来说约2.75英寸与3.25英寸之间。应注意,本文献中呈现的尺寸对应于针对处理300mm晶片配置的莲蓬头(除非另外说明)。应了解,可针对经配置以处理不同衬底类型和大小(例如,200mm晶片、450mm晶片等)的莲蓬头使用其它尺寸。
表征杆304的另一方式是基于其实心横截面积(即,不包含任何开口的横截面材料表面)。在某些实施例中,此横截面平均来说在约3平方英寸与10平方英寸之间,或更具体来说约4平方英寸与8平方英寸之间。杆304的高度(图3A中所示为HSTEM)可平均来说在约1英寸与5英寸之间,或更具体来说约2英寸与4英寸之间,或更加具体来说约2.5英寸与3.5英寸之间。
然而,与例如在图5的情形中论述的距热耦合到杆的热交换器的距离相比,杆的长度对于热分析来说可能较不相关。此外,当分析杆的热传导率时应考虑杆中提供的任何特征,例如过程气体馈送开口310、温度传感器开口312和加热元件开口314。其它热分析考虑包含用于杆构造的材料的热性质(例如,热传导率、热容量)、温度梯度、到其它元件(例如,热交换器、背板)的热耦合以及其它。
背板306也可具有圆柱形形状。在某些实施例中,背板306可表征为如图3A和图3B所示的圆盘堆叠。举例来说,堆叠可包含两个、三个、四个、五个或更多圆盘。在特定实施例中,背板是三个圆盘的堆叠。通常定位于堆叠的底部且与面板308接触的最大圆盘可具有约12英寸与14英寸之间的直径(图中未做参考)和约0.5英寸与1英寸之间的厚度(图中未做参考)。另一圆盘的直径(图3B中的D2BACK PLATE)可比最大圆盘小约0.5英寸与1.5英寸之间,且具有约0.5英寸与1英寸之间的厚度(图3A中的H2BACK PLATE)。又一圆柱体的直径(图3B中的D1BACK PLATE)可比最大圆柱体小约2.0英寸与4.0英寸之间,且具有约1.0与2.0英寸之间的厚度(图3A中的H1BACK PLATE)。
大体上,上文描述的各种圆盘堆叠形成单个统一主体(例如,由单个材料块制造或使用焊接、按压、熔接或其它技术而永久附接在一起)。在某些实施例中,每一圆盘可为可以模块化方式与相同或不同大小的其它圆盘堆叠在一起以形成背板的单独组件。圆盘的数目和大小可基于热传递要求来选择,且可针对不同要求重新配置到另一堆叠中。
面板308可稍微大于衬底,例如对于300mm晶片莲蓬头类型,直径(图3B中的DFRONT PLATE)为衬底的约100%与125%之间或在约14英寸与16英寸之间。为了确保整个面板308上的热均匀性且向背板306和从背板306传导热,面板可具有的厚度(图3A中的TFRONT PLATE)为至少约0.5英寸,或更具体来说约0.5英寸与1英寸之间,或更加具体来说约0.7英寸与0.8英寸之间。此外,前板308与背板306的组合可由两者之间的接触面积来表征,所述接触面积在某些实施例中可在约10平方英寸与30平方英寸之间,或更具体来说约15平方英寸与25平方英寸之间。如图3A到3B所示,接触区域可成形为具有约12英寸与16英寸之间的直径和约0.25英寸与1英寸之间的横截面的环。
上文描述的莲蓬头组件可由能够在典型CVD腔室的环境(例如,基于氟化物的化学品、等离子)和条件(例如,高达约600℃的温度)中操作的材料制造。材料的实例包含铝(例如,等级6061-T6、3003-O、3003-H12)、不锈钢和陶瓷(例如,氧化铝)。
过程气体经由杆304中的气体馈送通道310引入,且流经背板306,之后进入背板306与面板308之间的歧管区域316。歧管区域316可包含隔板(未图示)以用于在整个区域316上均匀地分配过程气体。背板306与面板308之间的间隙可平均来说在约0.25英寸与1英寸之间。
为了维持歧管区域316中的均匀气流,间隙可保持恒定,其中若干分隔物/间隔物定位于背板306与面板308之间的各种位置(例如,3、6或多达10个位置)处。在某些实施例中,如图4A所示,分隔物/间隔物402是面板308的一部分。背板306可借助于焊接或铜焊通过分隔物/间隔物402附接到面板308。或者,背板306可在带螺纹盲孔(未图示)处通过分隔物/间隔物紧固到面板308。在其它实施例中,可使用具有或不具有内螺纹的各种形状的间隔物或衬套。尽管描述螺钉进入背板306且旋拧到面板308中,但可使用相反配置(即,进入穿过面板308且旋拧到背板306中)。
返回到图3A和图3B,杆304还可包含用于插入温度传感器(即,温度传感器热电偶套管)的开口。开口312可被密封而远离歧管区域316以防止过程气体通过开口312逸出。开口312可允许在不拆卸莲蓬头300的情况下替换温度传感器。在某些实施例中,可在莲蓬头300附接到维持于其操作压力下的沉积腔室时替换温度传感器。
温度传感器开口312是以使得所安装的传感器(图5中的元件506;图3A和图3B中未图示)与面板308热耦合的方式配置。举例来说,背板306可具有延伸到歧管区域316中的特征,其与例如通过莲蓬头300的周边周围的接触区域相比建立与面板308的更直接接触。在此配置中,温度传感器提供关于面板的当前温度的快速响应,且允许修改通过莲蓬头的热通量。
杆304还可具有用于插入加热元件的一个或一个以上开口,即图3A和图3B中说明的加热元件开口314。此开口314被密封而与歧管区域316隔离。当将加热元件(图5中的元件504;图3A和图3B中未图示)安装到开口314中时,其变为与杆304和/或背板306热耦合。加热元件到面板308的热耦合是通过杆304和背板306来建立。在某些实施例中,加热开口314经配置以容纳筒形加热器(cartridge heater)。举例来说,开口314可具有的直径为约0.25英寸与0.5英寸之间,或更具体来说约0.35英寸与0.4英寸之间,且可具有的深度为约3英寸与7英寸之间,或更具体来说约4英寸与6英寸之间。
图4B说明根据某些实施例的面板308的仰视图。面板308具有多个孔或穿孔406以提供从歧管区域316进入沉积腔室的过程气体的均匀分配。图4B中展示此类孔的特定实例。所述通孔可例如经机械加工、研磨或钻孔而成。每一孔的直径可在约0.01英寸与0.25英寸之间,或更具体来说约0.02英寸与0.1英寸之间。在特定实施例中,孔406的直径为约0.04英寸(直径)。一些孔可具有与其它孔不同的大小。举例来说,孔大小可随着远离气体馈送管线310而增加。孔的数目可在约100与10,000之间,或更具体来说在约1,000与5,000之间。在特定实施例中,孔的数目在约3,750与4,000之间。孔可以各种图案在整个面板308上均匀分布,例如蜂巢图案或逐渐变大的圆。在特定实施例中,建立图案的圆的数目在约5与50个圆之间,或更具体来说约20与40个圆之间,或更加具体来说约25与30个圆之间。举例来说,一个孔可位于中心,随后是定位于距中心孔相同距离处的6到15个孔(即,圆形图案),随后是同样定位于距中心孔相同距离处的另外12到30个孔,但此第二距离是第一距离的两倍,等等。在某些实施例中,第一距离在约0.20英寸与0.30英寸之间。此外,孔406可形成具有不均匀分布的各种图案,例如与面板的中间相比在边缘周围更密集填充,或反之亦然。一般来说,面板308中的孔406的分布取决于各种因素,例如所需膜均匀性、膜型面和过程气体参数(例如,粘度、流动速率)。
在一些实施例中,面板308以可移除方式附接到背板306以使得可由于寿命结束而更换面板308,或提供新的孔图案。面板308的背表面可包含配合特征以与背板306附接和分离。举例来说,一个合适的配合特征可为凹槽和带螺纹盲孔。根据此实例,凹槽可配合到背板306上的对应唇缘上。背板306或面板308上的螺钉孔沿圆周定位且匹配于配合板上的孔。螺钉将背板306和面板308附接在一起。沿圆周定位的螺钉的数目可为至少约4、至少约10、至少约24或至少约50。可使用用于背板306和面板308的其它配合特征。举例来说,其它紧固机构可包含条带或夹,或可使用简单的基于摩擦的啮合,其中面板308的尺寸紧密匹配于背板306中的对应容器(receptacle)的尺寸。将面板附接到背板的额外细节在2008年7月29日申请的第12/181,927号美国专利申请案中描述,所述美国专利申请案为了描述面板附接的目的全文以引用的方式并入本文中。在某些其它实施例中,面板308不可从背板306移除。举例来说,所述两个元件可由同一材料块制造,或在制造之后集成在一起(例如,焊接、熔接、按压)。面板308与背板306之间的永久附接可提供所述两个组件之间的增强的热传递。
图5说明根据某些实施例的温度受控莲蓬头组合件500。除了上文描述的包含杆304、背板306和面板308的莲蓬头以外,组合件500还可包含加热元件504、热交换器502以及温度传感器506。这些元件中的任一者可为可移除的。
加热元件504热耦合到杆304和/或背板306。在某些实施例中,加热元件504是定位于杆的加热元件开口内的一个或一个以上筒形加热器。举例来说,可使用两个筒形加热器,其组合功率输出在约250W与2,500W之间,或更具体来说约500W与1,500W之间。在某些实施例中,加热元件包含RF绝缘物,例如通过使用EMI/RFI滤波器或任何其它市售的RF隔离装置。
如图5所示,热电偶506可插入穿过杆304中的开口且延伸穿过背板306。在某些实施例中,整个温度传感器开口312由同一材料块进行机械加工。在一些实施例中已发现,焊接或以另外方式集成热电偶套管的单独零件容易在莲蓬头的热循环期间破裂,且可能导致热电偶的真空泄漏和/或故障,从而可能导致系统的热逸散。热电偶506的直径平均来说可在约0.05英寸与0.25英寸之间,或更具体来说约0.10英寸与0.20英寸之间。热电偶的长度由杆304和背板306的设计决定,且通常允许热电偶一直延伸到开口312的底部(见图3A)。在某些实施例中,热电偶506的长度在约4英寸与8英寸之间,或更具体来说约6英寸与7英寸之间。可代替热电偶506使用的温度感测装置的另一实例是无接触温度传感器(例如,高温测定法、基于荧光的测温法或红外测温法)。
热电偶506还可绝缘且隔离于RF。RF隔离可通过在一个频率下操作RF陷波器且在另一频率下操作RF滤波器来实现。通常,在PECVD操作中施加的RF具有两个频率分量:高频(例如,13.56MHz)陷波和低频(例如,400kHz)陷波。RF隔离装置可包含一个或一个以上滤波器。在一个实施例中,RF隔离装置包含高频和低频滤波器。在无RF隔离的情况下,相信热电偶测量将无效,因为来自等离子产生器的RF干扰将太大。
图6中展示RF隔离装置的可能配置的示意图。热电偶506/601由不锈钢护套(sheath)围绕。此护套缠绕到与电容器605并联的线圈603。线圈充当电感器且电容器形成谐振电路,其阻挡13.56MHz信号。线圈可具有约1微亨的电感,且电容器605可具有约85pf(皮法)的电容。其余13.56MHz RF以第二电容器607短接到接地609,第二电容器607可具有约10000pf的电容。以护套对高频进行陷波也阻挡嵌入此护套中的热电偶线中的RF。400kHz频率不被603/605滤波器阻挡,且由于其较低频率而不通过电容器607短接到接地。因此在13.56MHz滤波器的末端处,仍存在400kHz噪声,其随后被低频滤波器611过滤掉。在一种设计中,低频滤波器可为两级低通滤波器。两个级均可为类似于高频滤波器的LC设计。请注意,低频滤波器可直接连接到热电偶线,但高频滤波器仅可连接到护套。
返回到图5,为了将面板308维持在大体上低于衬底和支座的温度,有时需要从面板308移除一些热。通过背板306和杆304在面板308与热交换器502之间提供热路径。热交换器502经配置以从杆304移除热或在某些实施例中向杆304递送热。此外,一些热可能由于辐射而从背板和杆的暴露表面移除。现在将更详细论述这些热移除特征中的每一者。
热交换器502可定位于杆304上以使得两个组件热耦合。举例来说,热交换器502和杆304可具有约20cm2与28cm2之间的接触表面(例如,在杆的顶部处形成安装表面的热交换器,或杆周围的套管)。热交换器502可在不影响系统的其它组件或沉积腔室的环境的情况下容易从杆移除。
可通过循环冷却流体经过热交换器502来控制热交换器502中的温度。冷却流体的实例包含水、防冻溶液和各种冷却气体(例如,干洁空气(CDA)、氩气、氦气、氮气、氢气或其混合物)。在特定实施例中,冷却流体是以至少约0.5加仑/分钟(GPM)的流动速率在约15℃与30℃之间供应到热交换器中的水。应了解,冷却流体的温度和流动速率可经调整以控制热交换器502与杆304之间的热通量。在某些实施例中,冷却流体可另外以外部冷冻器来冷却或以外部加热器来加热。此外,控制进入热交换器502的冷却流体的流动速率的阀510可经调整以打开或限制流量,如下文所述。
在某些要求较低的应用中,热交换器502可单独地用以控制莲蓬头温度(即,在杆中不提供加热元件)。举例来说,莲蓬头可从其它外部元件(例如,衬底)来加热,且热交换器仅用以冷却莲蓬头。在其它实施例中,热交换器可经配置以通过供应各种温度下的循环流体来提供加热和冷却两者。在其它实施例中,组合件包含如上所述的一个或一个以上加热元件504。
除了由热交换器提供的冷却以外,热还可辐射离开莲蓬头表面。为了改进辐射冷却,杆和/或莲蓬头的外表面可以高发射率材料涂覆。举例来说,涂层可为阳极氧化铝。辐射由大体上比莲蓬头组件冷得多(例如,室温左右)的腔室的壁吸收。腔室顶部也可用高发射率材料来处理以增加辐射热传递。腔室顶部的内表面也可用例如阳极氧化铝来涂覆。腔室顶部可例如用冷却水管线来独立地冷却。
在某些实施例中,组合件500包含温度控制器508。控制器508可用以从热电偶506读取温度信息,且调整递送到加热器504的功率和/或通过热交换器502的冷却流体的流动速率。举例来说,如果控制器508感测到面板308的温度大体上低于设定点(例如,沉积腔室正被带到操作条件),那么其可封闭(或某种程度上关闭)阀510且增加供应到加热器504的功率。
控制器508还可连接到测量冷却流体流动速率、冷却流体在进入和/或离开热交换器时的温度和其它过程参数的传感器。举例来说,温度控制器508还可取得前馈信息。前馈信息可为等离子接通之前的时间周期。在一些情况下,前馈信息还可包含影响莲蓬头温度的其它可预测的事件,例如关于冷晶片的晶片处理或进入莲蓬头的气流。举例来说,控制器508可预期冷却事件(例如,腔室清洗)而增加加热器输出,或预期加热事件(例如,等离子“接通”)而减小加热器输入。控制器508还可通过预期加热事件而增加冷却流体流量来增加冷却,或通过预期冷却事件而减小冷却流体流量来减小冷却。
在不同的控制方案中可使用输入与输出组件的各种组合。举例来说,主动冷却(调制冷却流体流量)可与主动加热(背板中的加热器)一起使用以准确控制莲蓬头温度。莲蓬头温度可从附接到面板的热电偶直接测量,或从退出的冷却流体温度间接确定。在一些情况下,控制系统中可仅包含主动冷却或仅包含主动加热。可包含另外其它输入,例如入口处的冷却流体的温度感测,以准确确定从莲蓬头移除的热。
图7说明根据本发明某些实施例的沉积系统700的实例。系统700的实例包含可从加利福尼亚州圣何塞市的诺发系统(Novellus Systems)公司购得的VECTOR ExpressTM系统和VECTOR ExtremeTM系统。这两个系统也可以可灰化硬掩模(AHM)配置使用。应注意,上文描述的新颖莲蓬头可在不具有原位等离子的CVD系统(例如,热CVD、远程等离子增强型CVD)和在具有原位等离子的CVD系统(例如,PECVD、微波等离子辅助CVD)中使用。为了简明起见,图7中说明且下文描述PECVD实例。然而应注意,本发明不限于此类型的CVD系统。
如图所示,系统700包含处理腔室718,其封闭系统700的其它组件,且在某些实施例中用以收容等离子。腔室718含有莲蓬头714和其它过程气体递送硬件、衬底支座720以及传感器724。任选的原位等离子产生器716,例如低频RF产生器和/或高频RF产生器,可连接到莲蓬头714和/或支座720。功率和频率足以从过程气体产生等离子,例如用于沉积的400到8000W总能量,和用于等离子退火的较高功率。在某些实施例中,在沉积期间不使用产生器,例如,沉积在“暗”或无等离子条件下发生。在等离子退火步骤期间,可使用一个或一个以上HF、MF和LF产生器。举例来说,在典型工艺中,高频RF分量大体上在2到60MHz之间;在优选实施例中,所述分量为13.56MHz。
在处理腔室718内,支座720支撑衬底721。支座720通常包含卡盘,和用以在沉积和/或等离子处理反应期间和之间升高和降低衬底721的起模顶杆。卡盘可为静电卡盘、机械卡盘、真空卡盘或可在工业和/或研究中使用的各种其它类型的卡盘。
从一个或一个以上过程气体源702通过莲蓬头714将过程气体引入到腔室718中。源702可包含阀和质量流量控制器(MFC)。其可由系统控制器722以如下方式控制:过程腔室中实现过程气体的浓度或部分压力的所需比率。反应产物和其它气体经由出口726退出腔室718。真空泵(例如,一级或两级机械干式泵和/或涡轮分子泵)通常将过程气体抽出,且通过例如节流阀或摆式阀(pendulum valve)等闭环控制流量限制装置来维持处理腔室内的适当低的压力。
腔室718可包含用于感测各种过程参数的传感器724,所述参数例如为衬底721和支座的温度、腔室压力、腔室内的过程气体的浓度和其它参数。传感器724可将所感测信息提供到系统控制器722。传感器724的实例包含残余气体分析器、压力传感器、热电偶、红外高温计和其它传感器。应注意,其它传感器可被包含于如上所述的莲蓬头714中。
在某些实施例中,采用系统控制器722来控制过程参数。系统控制器722通常包含一个或一个以上存储器装置和一个或一个以上处理器。处理器可包含CPU或计算机、模拟和/或数字输入/输出连接、步进电动机控制器板等。通常,将存在与系统控制器722相关联的用户接口。用户接口可包含显示屏、设备和/或过程条件的图形软件显示,以及例如指向装置、键盘、触摸屏、麦克风等用户输入装置。虽然系统控制器722被展示为连接到等离子产生器716,但其放置和连接性可基于特定实施方案而变化。
在某些实施例中,系统控制器722并入有上文描述的温度控制器(图5中的元件508)的一些或全部功能。举例来说,系统控制器722可搜集关于面板的温度的信息且使用此信息来调整加热器输出和/或通过热交换器的流量。系统控制器722执行包含指令集的系统控制软件,所述指令用于控制特定过程的温度、气体和流体的流动速率、腔室压力、衬底温度、各种操作的时序以及其它参数。在一些实施例中可采用存储在与控制器相关联的存储器装置上的其它计算机程序。
用于控制工序中的过程的计算机程序代码可以任何常规的计算机可读编程语言来编写:例如,汇编语言、C、C++、Pascal、Fortran或其它语言。经编译的目标代码或脚本由处理器执行以执行程序中识别的任务。系统软件可以许多不同方式来设计或配置。举例来说,可编写各种腔室组件子例程或控制对象以控制实行所描述过程所必要的腔室组件的操作。用于此目的的程序或程序片段的实例包含过程气体控制代码、压力控制代码和等离子控制代码。
控制器参数与以处方形式提供到用户的过程条件相关,且可利用用户接口来输入。用于监视过程的信号可由系统控制器722的模拟和/或数字输入连接提供。用于控制过程的信号在设备700的模拟和数字输出连接上输出。
设备700可为多台或单台设备。在多台配置中,腔室718可具有若干台,例如两个台、三个台、四个台、五个台、六个台、七个台、八个台、十个台或任一其它数目的台。此数目通常由总体过程的复杂性和/或不同操作共享同一环境的能力决定。在某些实施例中,多台设备中的两个或两个以上台暴露于同一处理环境(例如,压力)。然而,每一台可具有通过专用等离子产生器和受热支座实现的个别局部等离子和/或加热条件。
在某些实施例中,设备700可为多腔室系统的一部分。举例来说,系统可具有两个、三个或甚至四个单独腔室,其中每一腔室中有一个或一个以上台。每一腔室可具有一个或一个以上对应的传送口(例如,加载锁)以便独立地控制每一腔室中的内部环境。
结论
虽然已为了理解清楚的目的而以某种细节描述了上述发明,但将了解,在所附权利要求书的范围内可实践特定改变和修改。应注意,存在实施本发明的过程、系统和设备的许多替代方式。因此,本发明实施例应视为说明性而不是限制性的,且本发明不限于本文给出的细节。

Claims (30)

1.一种用于在化学气相沉积(CVD)设备中使用的温度受控莲蓬头组合件,所述温度受控莲蓬头组合件包括:
热传导杆;
背板,其附接到所述热传导杆;
面板,其热耦合到所述热传导杆且附接到所述背板;
加热元件,其热耦合到所述热传导杆;
热交换器,其热耦合到所述热传导杆;以及
温度传感器,其热耦合到所述面板,
其中所述温度受控莲蓬头经配置以通过在所述加热元件与所述面板之间和所述热交换器与所述面板之间提供热传递路径而将所述面板的温度维持于预定范围内。
2.根据权利要求1所述的温度受控莲蓬头组合件,其中所述热传导杆的实心横截面沿着轴部分的长度平均来说为至少约5平方英寸。
3.根据权利要求1所述的温度受控莲蓬头组合件,其中选自由所述热传导杆、所述背板和所述面板组成的群组的一个或一个以上组件包括具有至少约150瓦/(米·开尔文)的热传导率的材料。
4.根据权利要求1所述的温度受控莲蓬头组合件,其中选自由所述热传导杆、所述背板和所述面板组成的群组的一个或一个以上组件包括选自由铝6061和铝3003组成的群组的材料。
5.根据权利要求1所述的温度受控莲蓬头组合件,其中所述背板的平均厚度为至少约2英寸。
6.根据权利要求1所述的温度受控莲蓬头组合件,其中所述面板的平均厚度在约0.5英寸与1英寸之间。
7.根据权利要求1所述的温度受控莲蓬头组合件,其中所述面板与所述背板之间的平均间隙在约0.25英寸与0.75英寸之间。
8.根据权利要求1所述的温度受控莲蓬头组合件,其中所述面板与所述背板之间的接触面积在约30平方英寸与50平方英寸之间。
9.根据权利要求1所述的温度受控莲蓬头组合件,其中所述面板具有约13.5英寸与16.5英寸之间的直径。
10.根据权利要求1所述的温度受控莲蓬头组合件,其中所述热交换器包括经配置以允许冷却流体流动的对流冷却流体通路。
11.根据权利要求10所述的温度受控莲蓬头组合件,其中所述冷却流体选自由水和液体防冻溶液组成的群组。
12.根据权利要求1所述的温度受控莲蓬头组合件,其中所述热交换器定位于距所述面板约7英寸内。
13.根据权利要求1所述的温度受控莲蓬头组合件,其中所述温度受控莲蓬头组合件经配置以针对所述面板的在约0.2与0.8之间的发射率而将所述面板的所述温度维持在约200℃与300℃之间。
14.根据权利要求13所述的温度受控莲蓬头组合件,其中所述加热元件包括两个筒形加热器,每一筒形加热器经配置以提供至少约500W的功率输出。
15.根据权利要求1所述的温度受控莲蓬头组合件,其中所述杆包括顶表面,且其中所述加热元件定位于所述杆内且经配置以穿过所述顶表面而放置于所述杆中和从所述杆中移除。
16.根据权利要求1所述的温度受控莲蓬头组合件,其中所述杆包括顶表面,且其中所述温度传感器定位于所述杆内且经配置以穿过所述顶表面而放置于所述杆中和从所述杆移除。
17.根据权利要求1所述的温度受控莲蓬头组合件,其中选自由所述杆和所述背板组成的群组的一个或一个以上元件的外表面包括高发射率表面。
18.根据权利要求17所述的温度受控莲蓬头组合件,其中所述高发射率表面是阳极氧化铝。
19.根据权利要求1所述的温度受控莲蓬头组合件,其中所述面板具有经配置以用于均匀分配过程气体的多个通孔。
20.根据权利要求1所述的温度受控莲蓬头组合件,其中所述加热元件可从所述温度受控莲蓬头组合件移除。
21.根据权利要求1所述的温度受控莲蓬头组合件,其中所述热交换器可从所述温度受控莲蓬头组合件移除。
22.根据权利要求1所述的温度受控莲蓬头组合件,其中所述温度传感器可从所述温度受控莲蓬头组合件移除。
23.一种用于在化学气相沉积(CVD)设备中使用的温度受控莲蓬头组合件,所述温度受控莲蓬头组合件包括:
热传导杆,其包括铝6061;
背板,其包括铝6061且具有至少约2英寸的平均厚度,其中所述背板附接到所述热传导杆;
面板,其包括铝6061且具有约0.5英寸与1英寸之间的平均厚度,其中所述面板热耦合到所述热传导杆且附接到所述背板,其中所述面板与所述背板之间的平均间隙在约0.25英寸与0.75英寸之间;
加热元件,其包括两个筒形加热器,每一筒形加热器经配置以提供至少约500W的功率输出,其中所述加热元件热耦合到所述热传导杆;
热交换器,其热耦合到所述热传导杆;以及
温度传感器,其热耦合到所述面板,
其中所述温度受控莲蓬头经配置以通过在所述加热元件与所述面板之间和所述热交换器与所述面板之间提供热传递路径而将所述面板的温度维持于预定范围内。
24.一种用于在部分制造的半导体衬底上沉积半导体材料的化学气相沉积(CVD)系统,所述CVD系统包括:
处理腔室,其经配置以维持所述处理腔室内的低压力环境;
衬底支撑件,其用于固持所述部分制造的半导体衬底且将所述部分制造的半导体衬底的温度维持在约500℃与600℃之间;
温度受控莲蓬头组合件,其包括:
热传导杆;
背板,其附接到所述热传导杆;
面板,其热耦合到所述热传导杆且附接到所述背板;
加热元件,其热耦合到所述热传导杆;
热交换器,其热耦合到所述热传导杆;以及
温度传感器,其热耦合到所述面板,
其中所述温度受控莲蓬头经配置以通过在所述加热元件与所述面板之间和所述热交换器与所述面板之间提供热传递路径而将所述面板的温度维持于预定范围内。
25.根据权利要求24所述的CVD系统,其中所述温度受控莲蓬头的所述面板定位于距所述衬底支撑件约0.7英寸内,且其中所述温度受控莲蓬头经配置以在所述衬底支撑件维持于约500℃与550℃之间的同时将所述面板的所述温度维持于约200℃与300℃之间。
26.根据权利要求24所述的CVD系统,其进一步包括原位等离子产生器。
27.根据权利要求24所述的CVD系统,其中所述CVD系统是单台沉积系统。
28.根据权利要求24所述的CVD系统,其进一步包括第二衬底支撑件。
29.根据权利要求28所述的CVD系统,其中所述衬底支撑件和所述第二衬底支撑件定位于所述处理腔室内且经配置以暴露于同一低压力环境。
30.根据权利要求28所述的CVD系统,其进一步包括经配置以维持与所述处理腔室不同的环境的第二处理腔室,其中所述衬底支撑件定位于所述处理腔室中且所述第二衬底支撑件定位于所述第二处理腔室中。
CN201010602102.2A 2009-12-18 2010-12-20 用于高温操作的温度受控莲蓬头 Active CN102102194B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/642,497 2009-12-18
US12/642,497 US9034142B2 (en) 2009-12-18 2009-12-18 Temperature controlled showerhead for high temperature operations

Publications (2)

Publication Number Publication Date
CN102102194A true CN102102194A (zh) 2011-06-22
CN102102194B CN102102194B (zh) 2015-04-01

Family

ID=44149285

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201010602102.2A Active CN102102194B (zh) 2009-12-18 2010-12-20 用于高温操作的温度受控莲蓬头

Country Status (5)

Country Link
US (2) US9034142B2 (zh)
KR (1) KR101787100B1 (zh)
CN (1) CN102102194B (zh)
SG (1) SG172576A1 (zh)
TW (2) TWI572740B (zh)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102534567A (zh) * 2012-03-21 2012-07-04 中微半导体设备(上海)有限公司 控制化学气相沉积腔室内的基底加热的装置及方法
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US9034142B2 (en) 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
CN105483620A (zh) * 2015-11-27 2016-04-13 京东方科技集团股份有限公司 喷嘴部件、蒸镀装置及制作有机发光二极管器件的方法
US9441296B2 (en) 2011-03-04 2016-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
CN111575679A (zh) * 2019-02-15 2020-08-25 阿普勒斯株式会社 真空沉积装置
US10941489B2 (en) 2016-08-23 2021-03-09 Lam Research Corporation Rotary friction welded blank for PECVD heated showerhead
CN114341398A (zh) * 2019-08-23 2022-04-12 朗姆研究公司 温控吊灯型喷头

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8137467B2 (en) * 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
US20090260571A1 (en) * 2008-04-16 2009-10-22 Novellus Systems, Inc. Showerhead for chemical vapor deposition
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US9947512B2 (en) * 2011-10-25 2018-04-17 Lam Research Corporation Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber
US20130115372A1 (en) * 2011-11-08 2013-05-09 Primestar Solar, Inc. High emissivity distribution plate in vapor deposition apparatus and processes
CN103068138A (zh) * 2011-12-31 2013-04-24 长春吉大·小天鹅仪器有限公司 一种mpt微波能量真空管水冷装置
US20130316094A1 (en) * 2012-05-25 2013-11-28 Novellus Systems, Inc. Rf-powered, temperature-controlled gas diffuser
JP5940375B2 (ja) * 2012-06-01 2016-06-29 シャープ株式会社 気相成長装置および窒化物半導体発光素子の製造方法
CN103628046B (zh) * 2012-08-24 2015-11-11 中微半导体设备(上海)有限公司 一种调节基片表面温度的控温系统和控温方法
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
TWI473903B (zh) 2013-02-23 2015-02-21 Hermes Epitek Corp 應用於半導體設備的噴射器與上蓋板總成
US9865501B2 (en) 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US9070750B2 (en) 2013-03-06 2015-06-30 Novellus Systems, Inc. Methods for reducing metal oxide surfaces to modified metal surfaces using a gaseous reducing environment
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9469912B2 (en) 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US9472377B2 (en) 2014-10-17 2016-10-18 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
US9570289B2 (en) 2015-03-06 2017-02-14 Lam Research Corporation Method and apparatus to minimize seam effect during TEOS oxide film deposition
US20170002465A1 (en) * 2015-06-30 2017-01-05 Lam Research Corporation Separation of Plasma Suppression and Wafer Edge to Improve Edge Film Thickness Uniformity
US10373794B2 (en) 2015-10-29 2019-08-06 Lam Research Corporation Systems and methods for filtering radio frequencies from a signal of a thermocouple and controlling a temperature of an electrode in a plasma chamber
US9824884B1 (en) 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10607817B2 (en) * 2016-11-18 2020-03-31 Applied Materials, Inc. Thermal repeatability and in-situ showerhead temperature monitoring
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
TWI815813B (zh) * 2017-08-04 2023-09-21 荷蘭商Asm智慧財產控股公司 用於分配反應腔內氣體的噴頭總成
US10867812B2 (en) * 2017-08-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor manufacturing system and control method
US10907252B2 (en) 2017-10-23 2021-02-02 Applied Materials, Inc. Horizontal heat choke faceplate design
KR20190067356A (ko) * 2017-12-07 2019-06-17 삼성전자주식회사 막 형성 장치
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
KR102242820B1 (ko) * 2017-12-20 2021-04-21 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법
KR20190090414A (ko) * 2018-01-24 2019-08-02 삼성디스플레이 주식회사 증착 장치
US10889894B2 (en) 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
DE102018121854A1 (de) 2018-09-07 2020-03-12 Aixtron Se Verfahren zum Einrichten oder zum Betrieb eines CVD-Reaktors
CN111763927B (zh) * 2019-04-01 2023-04-07 上海先进半导体制造有限公司 Lpcvd炉管法兰温控装置及lpcvd炉设备
US20210335581A1 (en) * 2020-04-22 2021-10-28 Applied Materials, Inc. Preclean chamber upper shield with showerhead
US11242600B2 (en) 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
CN114790543A (zh) * 2021-01-26 2022-07-26 Asm Ip私人控股有限公司 用于沉积层的方法和系统
US20240062993A1 (en) * 2022-08-16 2024-02-22 Eugenus, Inc. Temperature-controlled showerhead assembly for cyclic vapor deposition
WO2024050248A1 (en) * 2022-08-30 2024-03-07 Lam Research Corporation A temperature controlled shower head for a processing tool

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050173404A1 (en) * 2001-04-30 2005-08-11 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
WO2006022997A2 (en) * 2004-08-06 2006-03-02 Tokyo Electron Limited Method and system for substrate temperature profile control
CN201343570Y (zh) * 2007-10-16 2009-11-11 诺发系统有限公司 温控喷淋头

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL287968A (zh) * 1962-03-15
GB2112715B (en) * 1981-09-30 1985-07-31 Shinshu Seiki Kk Ink jet recording apparatus
JPH067542B2 (ja) * 1984-11-22 1994-01-26 株式会社日立製作所 製造装置
FR2682047B1 (fr) * 1991-10-07 1993-11-12 Commissariat A Energie Atomique Reacteur de traitement chimique en phase gazeuse.
US5446824A (en) * 1991-10-11 1995-08-29 Texas Instruments Lamp-heated chuck for uniform wafer processing
US5376213A (en) * 1992-07-28 1994-12-27 Tokyo Electron Limited Plasma processing apparatus
JP3174438B2 (ja) 1993-08-03 2001-06-11 松下電器産業株式会社 プラズマcvd方法
US5452396A (en) * 1994-02-07 1995-09-19 Midwest Research Institute Optical processing furnace with quartz muffle and diffuser plate
US5468298A (en) * 1994-04-13 1995-11-21 Applied Materials, Inc. Bottom purge manifold for CVD tungsten process
TW331652B (en) * 1995-06-16 1998-05-11 Ebara Corp Thin film vapor deposition apparatus
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US5653479A (en) * 1996-02-02 1997-08-05 Vlsi Technology, Inc. Vacuum seal for a ball junction
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5834068A (en) * 1996-07-12 1998-11-10 Applied Materials, Inc. Wafer surface temperature control for deposition of thin films
US5806980A (en) * 1996-09-11 1998-09-15 Novellus Systems, Inc. Methods and apparatus for measuring temperatures at high potential
KR100492258B1 (ko) * 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
US6112697A (en) * 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US6289842B1 (en) * 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6409837B1 (en) 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
KR100302609B1 (ko) * 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6237528B1 (en) * 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
JP3578398B2 (ja) * 2000-06-22 2004-10-20 古河スカイ株式会社 成膜用ガス分散プレート及びその製造方法
US6379056B1 (en) * 2000-09-12 2002-04-30 Tokyo Electron Limited Substrate processing apparatus
KR101004173B1 (ko) * 2001-02-09 2010-12-24 도쿄엘렉트론가부시키가이샤 성막 장치
US20020144783A1 (en) * 2001-04-05 2002-10-10 Applied Materials, Inc. Apparatus and method for accelerating process stability of high temperature vacuum processes after chamber cleaning
US20030047282A1 (en) * 2001-09-10 2003-03-13 Yasumi Sago Surface processing apparatus
US6986324B2 (en) 2001-10-19 2006-01-17 Hydropac/Lab Products, Inc. Fluid delivery valve system and method
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US6883733B1 (en) * 2002-03-28 2005-04-26 Novellus Systems, Inc. Tapered post, showerhead design to improve mixing on dual plenum showerheads
US6921556B2 (en) * 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
JP4186536B2 (ja) * 2002-07-18 2008-11-26 松下電器産業株式会社 プラズマ処理装置
KR100454281B1 (ko) 2002-12-24 2004-10-26 한전기공주식회사 보일러 화염감지기 교정장치
US7296534B2 (en) * 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
JP4493932B2 (ja) * 2003-05-13 2010-06-30 東京エレクトロン株式会社 上部電極及びプラズマ処理装置
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050221000A1 (en) 2004-03-31 2005-10-06 Tokyo Electron Limited Method of forming a metal layer
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
KR100628888B1 (ko) * 2004-12-27 2006-09-26 삼성전자주식회사 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
WO2008016836A2 (en) * 2006-07-29 2008-02-07 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
SG152163A1 (en) 2007-10-16 2009-05-29 Novellus Systems Inc Temperature controlled showerhead
US20090095218A1 (en) * 2007-10-16 2009-04-16 Novellus Systems, Inc. Temperature controlled showerhead
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US20090260571A1 (en) * 2008-04-16 2009-10-22 Novellus Systems, Inc. Showerhead for chemical vapor deposition
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
KR101598332B1 (ko) * 2009-07-15 2016-03-14 어플라이드 머티어리얼스, 인코포레이티드 Cvd 챔버의 유동 제어 피쳐
US9034142B2 (en) 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050173404A1 (en) * 2001-04-30 2005-08-11 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
WO2006022997A2 (en) * 2004-08-06 2006-03-02 Tokyo Electron Limited Method and system for substrate temperature profile control
CN201343570Y (zh) * 2007-10-16 2009-11-11 诺发系统有限公司 温控喷淋头

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10584415B2 (en) 2007-10-16 2020-03-10 Novellus Systems, Inc. Temperature controlled showerhead
US10221484B2 (en) 2007-10-16 2019-03-05 Novellus Systems, Inc. Temperature controlled showerhead
US9476120B2 (en) 2007-10-16 2016-10-25 Novellus Systems, Inc. Temperature controlled showerhead
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US9034142B2 (en) 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US9441296B2 (en) 2011-03-04 2016-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US10400333B2 (en) 2011-03-04 2019-09-03 Novellus Systems, Inc. Hybrid ceramic showerhead
CN102534567B (zh) * 2012-03-21 2014-01-15 中微半导体设备(上海)有限公司 控制化学气相沉积腔室内的基底加热的装置及方法
US10281215B2 (en) 2012-03-21 2019-05-07 Advanced Micro-Fabrication Equipment Inc, Shanghai Apparatus and method for controlling heating of base within chemical vapour deposition chamber
US9851151B2 (en) 2012-03-21 2017-12-26 Advanced Micro-Fabrication Equipment Inc, Shanghai Apparatus and method for controlling heating of base within chemical vapour deposition chamber
CN102534567A (zh) * 2012-03-21 2012-07-04 中微半导体设备(上海)有限公司 控制化学气相沉积腔室内的基底加热的装置及方法
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10494717B2 (en) 2015-05-26 2019-12-03 Lam Research Corporation Anti-transient showerhead
CN105483620A (zh) * 2015-11-27 2016-04-13 京东方科技集团股份有限公司 喷嘴部件、蒸镀装置及制作有机发光二极管器件的方法
CN105483620B (zh) * 2015-11-27 2018-03-30 京东方科技集团股份有限公司 喷嘴部件、蒸镀装置及制作有机发光二极管器件的方法
US10941489B2 (en) 2016-08-23 2021-03-09 Lam Research Corporation Rotary friction welded blank for PECVD heated showerhead
CN107761075B (zh) * 2016-08-23 2022-03-22 朗姆研究公司 用于pecvd加热喷头的旋转摩擦焊接坯件
CN111575679A (zh) * 2019-02-15 2020-08-25 阿普勒斯株式会社 真空沉积装置
CN114341398A (zh) * 2019-08-23 2022-04-12 朗姆研究公司 温控吊灯型喷头

Also Published As

Publication number Publication date
US9034142B2 (en) 2015-05-19
TWI523973B (zh) 2016-03-01
SG172576A1 (en) 2011-07-28
KR20110070826A (ko) 2011-06-24
KR101787100B1 (ko) 2017-10-18
TW201608054A (zh) 2016-03-01
CN102102194B (zh) 2015-04-01
TWI572740B (zh) 2017-03-01
US20150218701A1 (en) 2015-08-06
TW201132793A (en) 2011-10-01
US20110146571A1 (en) 2011-06-23

Similar Documents

Publication Publication Date Title
CN102102194A (zh) 用于高温操作的温度受控莲蓬头
US11692732B2 (en) Air cooled faraday shield and methods for using the same
CN201343570Y (zh) 温控喷淋头
US20190326138A1 (en) Ceramic wafer heater with integrated pressurized helium cooling
US20080178797A1 (en) Processing chamber with heated chamber liner
TW201518538A (zh) 像素化冷卻溫度控制的基板支撐組件
US11024522B2 (en) Virtual sensor for spatially resolved wafer temperature control
US20140083361A1 (en) Controlling temperature in substrate processing systems
KR101039085B1 (ko) 플라즈마처리장치 및 플라즈마처리방법
US10537013B2 (en) Distributed electro-static chuck cooling
WO2019204125A1 (en) Ceramic wafer heater having cooling channels with minimum fluid drag
KR20070036844A (ko) 반도체 및 액정표시 장치 제조용 플라즈마 화학 증착 챔버
JP5325457B2 (ja) プラズマ処理装置
US20170096738A1 (en) Diffuser temperature control
JP7413128B2 (ja) 基板支持台
JP2023515881A (ja) プラズマチャンバ状態モニタリングのための容量性センサ及び容量性感知場所
CN104302084A (zh) 空气冷却的法拉第屏蔽罩和使用该屏蔽罩的方法
JP7365815B2 (ja) 載置台及び基板処理装置
JP5696183B2 (ja) プラズマ処理装置
CN113921451A (zh) 载置台、处理基片的装置和对基片进行温度调节的方法
JP2024518557A (ja) 高速排熱能力を備えた高温サセプタ

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CB03 Change of inventor or designer information
CB03 Change of inventor or designer information

Inventor after: Bartlett Christopher M.

Inventor after: Li Ming

Inventor after: Henri Jon

Inventor after: Robert Marshall Stowel

Inventor after: Sabri Mohammed

Inventor before: Bartlett Christopher M.

Inventor before: Li Ming

Inventor before: Henri Jon

Inventor before: Stowell Marshall R.

Inventor before: Sabri Mohammed