KR101012295B1 - 박막형성 장치 및 방법 - Google Patents

박막형성 장치 및 방법 Download PDF

Info

Publication number
KR101012295B1
KR101012295B1 KR1020030064311A KR20030064311A KR101012295B1 KR 101012295 B1 KR101012295 B1 KR 101012295B1 KR 1020030064311 A KR1020030064311 A KR 1020030064311A KR 20030064311 A KR20030064311 A KR 20030064311A KR 101012295 B1 KR101012295 B1 KR 101012295B1
Authority
KR
South Korea
Prior art keywords
space
gas
plasma
film formation
material gas
Prior art date
Application number
KR1020030064311A
Other languages
English (en)
Other versions
KR20040025597A (ko
Inventor
구마가이아키라
이시바시게이지
다나카마사히코
Original Assignee
캐논 아네르바 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 캐논 아네르바 가부시키가이샤 filed Critical 캐논 아네르바 가부시키가이샤
Publication of KR20040025597A publication Critical patent/KR20040025597A/ko
Application granted granted Critical
Publication of KR101012295B1 publication Critical patent/KR101012295B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

진공용기의 내부가 도전성 격벽판에 의해 2실로 격리되고, 당해 2실중, 일방의 실이 고주파전극이 배치된 플라즈마 생성 공간, 타방의 실이 기판을 탑재하는 기판 유지기구가 배치된 성막처리 공간으로서 각각 형성되어 있다. 도전성 격벽판에 플라즈마 생성 공간과 성막처리 공간을 통하게 하는 복수의 관통 구멍이 형성되어 있는 동시에, 플라즈마 생성 공간으로부터 격리되고, 또한 성막처리 공간과 복수의 재료가스 확산 구멍을 통하여 통해 있는 제 1 내부공간이 구비되어 있다. 플라즈마 생성 공간에 방전 플라즈마에 의해 원하는 활성종을 생성하기 위한 가스가 도입되고, 도전성 격벽판의 복수의 관통 구멍을 통해 플라즈마 생성 공간내에서 생성된 원하는 활성종이 성막처리 공간에 공급된다. 도전성 격벽판의 제 1 내부공간에 외부로부터 재료가스가 도입되고, 복수의 재료가스 확산 구멍을 통해서 성막처리 공간에 공급된다. 이렇게 하여, 성막처리 공간에 공급된 활성종과 재료가스와의 반응을 이용하여 벽판에 성막이 행해지는 박막형성 장치로서, 도전성 격벽판은, 더욱이, 제 1 내부공간으로부터 격리되고, 또한 성막처리 공간과 복수의 가스 확산 구멍을 통하여 통해 있는 제 2 내부공간을 가지고, 당해 제 2 내부공간에는 외부로부터 재료가스 이외의 가스가 도입되는 것을 특징으로 하는 박막형성 장치.
Figure R1020030064311
진공용기, 고주파전극, 플라즈마, 기판, 확산 구멍, 유량제어기, 도전성 격벽판

Description

박막형성 장치 및 방법{METHOD AND APPARATUS FOR FORMING THIN FILM}
도 1은 본 발명의 제 1 실시형태의 구성을 도시하는 종단면 개략도,
도 2는 본 발명의 제 2 실시형태의 구성을 도시하는 종단면 개략도이다.
(부호의 설명)
1: 진공용기 3: 고주파전극
6: 기판 유지기구 8: 플라즈마 생성 공간
9: 성막처리 공간 10: 기판
21, 23: 제 2 내부공간 22: 가스 확산 구멍
24: 가스 도입 구멍 31, 33: 제 1 내부공간
32, 34: 재료가스 확산 구멍
51: 가스 공급원 52: 재료가스 공급원
53: 불활성 가스 공급원 54: 첨가가스 공급원
61, 62, 63, 64, 65, 66: 유량제어기
101, 102: 도전성 격벽판
본 발명은, 박막형성 장치 및 방법에 관한 것이며, 특히 활성종(라디칼)을 사용한 화학반응을 이용하는 박막형성 장치 및 방법에 관한 것이다.
대형 액정 디스플레이의 제작 방법으로서, 종래, 고온 폴리 실리콘형 TFT(박막 트랜지스터)를 이용하는 것과, 저온 폴리 실리콘형 TFT를 이용하는 것이 알려져 있다.
고온 폴리 실리콘형 TFT를 이용하는 제작 방법에서는, 고품질인 산화막을 얻기 위해서, 1000℃ 이상의 고온에 견디는 석영기판이 사용되고 있었다. 이에 반해 저온 폴리 실리콘형 TFT의 제작에서는, 통상의 TFT용 유리기판을 사용하기 때문에, 저온환경(예를 들면 400℃)에서 성막을 행할 필요가 있다.
저온 폴리 실리콘형 TFT를 이용하여 액정 디스플레이를 제작하는 방법은, 특별한 기판을 사용할 필요가 없어, 성막조건의 설정이 간단하다는 이점을 가져, 근년 실용화되어, 그 생산량은 확대되고 있다.
저온 폴리 실리콘형 TFT를 이용하는 액정 디스플레이의 제작에서, 저온에서 게이트 절연막으로서 적당한 실리콘 산화막을 성막하는 경우, 플라즈마 CVD가 사용된다. 이 플라즈마 CVD로 실리콘 산화막을 성막할 때, 대표적인 재료가스로서는 실란, 테트라에톡시실란(TEOS)등이 사용된다.
재료가스로서 실란 등을 사용하여 플라즈마에 의한 CVD(Chemical Vapor Deposition)로 실리콘 산화막을 성막하는 경우, 종래의 플라즈마 CVD 장치에 의하면, 기판의 앞면 공간에 재료가스와 산소 등을 도입하여, 재료가스와 산소의 혼합 가스로 플라즈마를 생성하고, 당해 플라즈마에 대해 기판을 노출시킴으로써, 당해 기판의 표면상에 실리콘 산화막을 형성하도록 하고 있었다.
이와 같이 종래의 플라즈마 CVD 장치에서는, 재료가스는, 플라즈마 CVD 장치내에 생성된 플라즈마중에 직접적으로 공급하도록 구성되어 있었다. 그래서, 기판의 앞면 공간에 존재하는 플라즈마로부터 기판의 성막면에 대해 고에너지의 이온이 입사하여, 실리콘 산화막에 데미지를 주어, 막 특성이 악화된다는 문제가 존재했다.
더욱이 플라즈마중에 재료가스가 직접적으로 도입되기 때문에, 재료가스와 플라즈마가 격렬하게 반응하여 파티클이 발생하고, 이것에 의해 수율이 저하된다는 문제도 있었다,
그래서 종래에는, 상기 문제를 해결하기 위해서, 원격 플라즈마 방식을 이용한 박막형성 장치가 제안되어 있었다.
이 원격 플라즈마 방식을 이용한 박막형성 장치로서는, 예를 들면, 일본국 특개평 5-21393호 공보에 개시된 플라즈마 CVD 장치, 일본국 특개평 8-167596호 공보에 개시된 플라즈마 처리 장치, 또는 일본국 특개평 6-260434호 공보(특허 제 2601127 호)에 개시된 플라즈마 CVD 장치 등이 있다.
이들중에서, 고에너지 이온의 입사에 의한 실리콘 산화막에의 데미지 및 파티클의 발생이 가장 억제되는 장치는, 일본국 특개평 6-260434호 공보(특허 제 2601l27 호)에 개시된 플라즈마 CVD 장치이다.
이 플라즈마 CVD 장치는, 평행 평판전극 구조를 가지고, 고주파전극과 기판 홀더 전극 사이에 중간전극을 배치해서 고주파전극과 기판 홀더 전극 사이의 공간 을 칸막이하고, 또한 고주파전극과 중간전극의 사이에만 고주파전력을 공급함으로써 고주파전극·중간전극에만 플라즈마 방전을 발생시켜, 플라즈마 방전으로 발생한 여기 활성종과 이온을 중간전극에 형성된 관통 구멍을 통하여 기판의 앞면 공간에 도입하도록 구성되어 있다.
고주파전극은 종래의 샤워 헤드 형식의 전극이며, 플라즈마 생성용 가스는 다수의 구멍을 갖는 확산판의 각 구멍을 통하여 플라즈마 생성 공간에 도입되고 있다.
재료가스는, 가스 도입관, 중간전극에 형성된 내부공간 및 확산 구멍(가스 분출구)을 통해서 기판의 앞면 공간에 도입되고 있다.
이 일본국 특개평 6-260434호 공보(특허 제2601127호)에 개시된 플라즈마 CVD 장치에서는, 고주파전극과 기판 홀더 전극 사이의 공간이 중간전극에 의해 칸막이 되어, 고주파전극과 중간전극 사이의 공간만이 플라즈마 생성 공간으로서 형성되어, 플라즈마 생성 영역이 기판이 놓인 장소로부터 떨어진 구성을 가지고 있다.
더욱이, 종래에는, 원격 플라즈마 방식을 이용한 박막형성 장치로서, 일본국 특개 2000-345349호 공보에 개시된 CVD 장치가 제안되어 있었다.
상기의 일본국 특개평 6-260434호 공보(특허 제2601127호)에 개시된 플라즈마 CVD 장치에서는, 중간전극에 형성된 관통 구멍의 형상에 특별한 고려가 행해지지 않았다. 그래서, 재료가스가 플라즈마 생성 공간에 역확산할 가능성이 있었다.
그러나, 일본국 특개 2000-345349호 공보에 개시된 CVD 장치에서는, 이 중간 전극에 상당하는 격벽판의 관통 구멍의 형상을 규정하고, 이것을 구조적으로 확실하게 억제하고 있다.
이상의 원격 플라즈마 방식을 이용한 종래의 박막형성 장치에서는, 박막형성 장치내의 플라즈마 생성 공간으로부터 떨어져, 수명이 짧은 하전입자가 소멸하고, 비교적 수명이 긴 라디칼이 탁월하게 존재하는 영역에 기판을 배치하고, 또한 재료가스가 기판의 배치 영역 가까이 공급되도록 구성하고 있다. 플라즈마 생성 공간에서 생성된 라디칼은, 기판이 배치된 성막처리 공간의 방향으로 확산하여, 기판 표면의 앞면 공간에 공급된다.
이러한 원격 플라즈마 방식의 박막형성 장치에 의하면, 재료가스와 플라즈마의 격심한 반응이 억제되기 때문에 파티클의 발생량이 저감되고, 더욱이 이온의 기판으로의 입사도 제한된다는 이점을 가지고 있다.
근년, 디바이스의 고성능화의 요구가 높아지고, 그것에 대응하기 위해서 플라즈마 CVD 장치를 사용한 경우, 열산화막에 필적하는 고품질의 실리콘 산화막이 요구되게 되었다.
상술의 어느 박막형성 장치에서도, 성막처리 공간에서, 그 공간으로 인도되는 플라즈마 생성 공간에서 생성된 활성종과 재료가스와의 반응에 의해 성막이 행해진다.
상술한 일본국 특개 2000-345349호 공보에 개시된 CVD 장치에서는, 진공용기의 내부를 2실로 격리하는 도전성 격벽판을 상기 진공용기내에 설치하고, 상기 2실 중, 일방의 실을 고주파전극을 배치한 플라즈마 생성 공간, 타방의 실을 기판을 탑재하는 기판 유지기구가 배치된 성막처리 공간으로 하여 각각 형성하고 있다. 상기 도전성 격벽판에는 상기 플라즈마 생성 공간과 상기 성막처리 공간을 통과시키는 복수의 관통 구멍이 형성되어 있다. 상기 플라즈마 생성 공간에는 방전 플라즈마에 의해 원하는 활성종을 생성하기 위한 가스가 도입된다. 그리고, 상기 도전성 격벽판의 복수의 상기 관통 구멍을 통하여 상기 플라즈마 생성 공간내에서 생성된 원하는 상기 활성종이 상기 성막처리 공간에 공급된다. 상기 도전성 격벽판은, 상기 플라즈마 생성 공간으로부터 격리되고, 또한 상기 성막처리 공간과 복수의 재료가스 확산 구멍을 통하여 통해 있는 제 1 내부공간을 가지고 있다. 이 제 1 내부공간에 외부로부터 재료가스가 도입되고, 복수의 상기 재료가스 확산 구멍을 통해서 상기 성막처리 공간에 공급된다. 그리고, 상기 성막처리 공간에 공급된 상기 활성종과 상기 재료가스의 반응을 이용하여 상기 기판에 성막이 행해진다.
즉, 일본국 특개 2000-345349호 공보에 개시된 CVD 장치에서의 박막의 형성은 다음과 같이 행해진다.
상기 플라즈마 생성 공간에 산소를 도입하여 방전 플라즈마에 의해 산소 라디칼(여기에서는, 기저상태를 포함하는 원자상태 산소를 가리킨다)을 생성하고, 당해 산소 라디칼과 산소(여기서는, 특별히 라디칼이라 부르지 않는 한, 분자상태를 가리킨다.)를 상기 격벽판에 설치된 관통 구멍을 통하여 상기 성막처리 공간에 공급하는 동시에, 실란 가스를 상기 재료가스로 하여, 상기 격벽판에 설치한 내부공간에 공급하여 확산 구멍으로 상기 성막처리 공간에 공급한다. 이들 산소 라디칼, 산소, 실란의 반응을 이용하여 실리콘 산화막을 성막하는 경우, 재료가스인 실란과 플라즈마의 격심한 반응이 억제되기 때문에 파티클의 발생량이 저감되고, 더욱이 이온의 기판으로의 입사도 제한된다. 그래서, 일본국 특개 2000-345349호 공보에 개시된 CVD 장치에 의하면, 일본국 특개평 5-21393호 공보 등에 개시된 플라즈마 CVD 장치에 의해 성막한 경우보다도 우수한 특성을 갖는 실리콘 산화막을 얻을 수 있었다.
그러나, 유리기판의 대형화가 요구되는 실리콘 산화막 형성에서는, 성막 속도와 막 특성(전기 특성 등)은 트레이드 오프의 관계에 있다. 즉, 양호한 막 특성을 유지해서 성막 속도를 향상시킬 수 없어, 생산성에서 개선해야 할 과제로서 남겨져 있었다.
예를 들면, 실란(SiH4) 가스를 사용하여 산화 실리콘 막을 CVD법으로 제작하는 경우, 성막 속도를 높이기 위해서는, 재료가스인 실란 가스의 유량을 증대시키는 방법이든지, 또는, 플라즈마 생성 공간내에서의 산소 라디칼의 양을 증대시키는 방법이든지 어느 하나를 채용할 수 있다.
그러나, 실란 가스의 유량을 증대시킨 경우에는, 산소 라디칼 또는 산소 가스가 기상중(성막처리 공간)에서 급속한 산화 실리콘의 형성 반응을 일으키기 때문에, 유리기판상에 박막으로서 형성되지 않고, 파티클로서 발생하여 문제를 일으켰다.
한편, 플라즈마 생성 공간내에서의 산소 라디칼의 양을 증대시킨 경우에는, 산소 라디칼의 증대와 함께 성막처리 공간에서 산화에 기여할 수 있는 산소의 절대량이 부족되어버려, 성막 속도의 증대는 도모되지만 불충분한 산화 상태의 박막이 형성되어버리기 때문에, 막 특성의 향상은 바랄 수 없었다.
본 발명의 목적으로 하는 바는, 상기의 과제를 해결 하는 것에 있고, 종래 트레이드 오프의 관계로 되어 있던 성막 속도와 막 특성의 관계를 개선하여, 더욱 고품질인 실리콘 산화막이 형성되고, 또한 막 특성을 유지하면서 성막 속도를 향상시킬 수 있어, 실리콘 산화막의 고속성막도 가능하게 하는 생산성이 우수한 박막형성 장치 및 방법을 제공하는 것에 있다.
우선 최초에, 상기 목적을 달성하는 해결 수단으로서의 본 발명의 구성에 이른 지견을 기술한다.
본 발명자 등은, 상술의 일본 특개 2000-345349호 공보에 개시된 CVD 장치와 같은 종래의 장치에 의한, 상기 성막처리 공간내에서의 산소 라디칼, 산소, 실란의 반응을 이용한 실리콘 산화막의 성막에 대하여 예의 연구를 행했다. 그리고, 산소 라디칼이 일련의 반응의 트리거로서 중요하며, 또 산소는 일련의 반응의 최종적인 일산화 실리콘(SiO)으로부터 이산화 실리콘(SiO2)으로의 반응에 필요한 것임을 밝혔다. 즉, 이것들의 반응에서는, 산소 라디칼과 산소 양쪽 모두 중요하다는 지견을 얻었다.
더욱이, 본 발명자 등은, 성막처리 공간에 공급되는 산소 라디칼은, 고주파 전극에 공급되는 전력이나 플라즈마 생성 공간의 압력에 의해 제어 가능하며, 이 산소 라디칼의 공급량이 많을 수록 막 특성이 향상되는 경향이 있는 것도 밝혔다.
그렇지만, 본 발명자 등은, 연구의 결과로부터, 종래의 박막형성 장치(상술의 일본 특개 2000-345349호 공보에 개시된 CVD 장치와 같은 종래의 장치)에서는, 산소 라디칼이 플라즈마 생성 공간에 도입되는 산소의 분해에 의해 생성되기 때문에, 성막처리 공간에 공급되는 산소의 양이 이 산소 라디칼 생성량과 트레이드 오프 관계가 되어, 양호한 막 특성을 얻기 위해서 성막처리 공간에 공급되는 산소 라디칼량을 증가시켜도, 이 때 산소가 감소해버려, 그 양이 최적이 아니고 부족하게 되고 만다는 생각에 이르렀다. 즉, 산소 라디칼량을 증가시키면 막 특성은 향상되지만, 산소가 부족하기 때문에 그 특성이 제한되어버리게 된다는 지견을 얻었다.
또, 본 발명자 등의 연구에서, 재료가스인 실란 가스 등의 도입량을 증가시키면 고속 성막을 행할 수 있는데, 성막 속도와 막 특성은 트레이드 오프의 관계에 있고, 성막 속도의 증가와 함께 얻어지는 막 특성이 저하해버리는 것이 명백하였다. 이것은, 고속성막에서 막 특성을 유지하려고 하면, 산소 라디칼량을 더욱 증가시킬 필요가 있어, 산소량이 더욱 부족되어버렸기 때문이다.
이상으로부터, 고품질인 막 특성을 얻기 위해서는, 산소 라디칼을 효율적으로 공급하는 동시에 산소를 충분히 공급하는 것이 중요한 것을 알았다.
본 발명에 관계되는 박막형성 장치 및 방법은, 상기 지견에 근거하여 다음과 같이 구성된다.
즉, 본 발명은, 진공용기내에서 플라즈마를 생성하여 활성종(라디칼)을 발생 시키고, 이 활성종과 재료가스로 기판에 성막처리를 행하는 박막형성 장치 및 박막형성 방법이다.
진공용기에는, 진공용기의 내부를 2실로 격리하는 도전성 격벽판이 설치된다. 이들 2실중, 일방의 실은 고주파전극을 배치한 플라즈마 생성 공간으로서 형성되고, 타방의 실은 기판을 탑재하는 기판 유지기구가 배치된 성막처리 공간으로서 형성된다,
또 이 도전성 격벽판에는 플라즈마 생성 공간과 성막처리 공간을 통하게 하는 복수의 관통 구멍이 형성된다. 도전성 격벽판은, 더욱이, 플라즈마 생성 공간으로부터 격리되고, 또한 성막처리 공간과 복수의 재료가스 확산 구멍을 통하여 통해 있는 제 1 내부공간을 갖는다. 이 제 1 내부공간에는 외부로부터 재료가스가 도입되고, 내부공간에 도입된 재료가스는 복수의 재료가스 확산 구멍을 통해서 성막처리 공간에 공급된다.
플라즈마 생성 공간에는 방전 플라즈마에 의해 원하는 활성종을 생성하기 위한 가스가 도입되고, 방전 플라즈마에 의해 생성된 활성종은 도전성 격벽판에 형성된 복수의 관통 구멍을 통하여 성막처리 공간에 공급된다. 성막처리 공간에서는, 공급된 재료가스와 활성종의 반응을 이용하여 기판상에 성막이 행해진다.
여기에서, 본 발명의 박막형성 장치는, 도전성 격벽판에는, 더욱, 재료가스가 도입되는 제 1 내부공간으로부터 격리되고, 또한 성막처리 공간과 복수의 가스 확산 구멍을 통하여 통해 있는 제 2 내부공간이 설치되고, 이 제 2 내부공간에는, 외부로부터 재료가스 이외의 가스가 도입되는 구조로 되어 있는 것을 특징으로 하 는 것이다.
또, 본 발명의 다른 박막형성 장치는, 도전성 격벽판에 형성되는 관통 구멍의 플라즈마 생성 공간측의 구멍 직경이 성막처리 공간측의 구멍 직경보다도 작고, 도전성 격벽판에는, 더욱, 재료가스가 도입되는 제 1 내부공간으로부터 격리되고, 또한 관통 구멍과 가스 도입 구멍을 통하여 통해 있는 제 2 내부공간이 설치되고, 이 제 2 내부공간에는, 외부로부터 재료가스 이외의 가스가 도입되는 구조로 되어 있는 것을 특징으로 하는 것이다.
본 발명의 박막형성 장치에 의하면, 재료가스 이외의 가스가 제 2 내부공간을 통해서 재료가스와 별개로 독립하여 성막처리 공간에 도입되고, 재료가스 이외의 가스의 유량이 재료가스의 유량과 독립하여 조절 가능하게 되어, 원하는 가스가 성막처리 공간에 소정량만 공급되게 된다. 또, 후자의 박막형성 장치에 의하면, 상술의 효과에 더하여, 제 2 내부공간에 도입한 가스의 플라즈마 생성 공간으로의 확산이 억지되어, 성막처리 공간내로의 효율 좋은 공급이 가능하게 된다.
본 발명에서는, 재료가스에 모노실란 가스, 디실란 가스, 트리실란 가스 또는 테트라에톡시실란 가스(TEOS)중 어느 하나를 사용하는 것이 바람직하다. 또한, 이들 재료가스는, 희석용 가스에 의해 희석되어 있어도 좋다.
본 발명에서는, 플라즈마 생성 공간에는, 성막처리 공간에 산소 라디칼을 보다 많이 공급하기 위해서, 산소 가스를 도입하는 것이 바람직하다.
또, 본 발명에서는, 산소 라디칼량을 증가시켜도, 성막처리 공간내의 산소가 부족되지 않고 막 특성을 유지하면서 성막할 수 있기 때문에, 플라즈마 생성 공간 에, 산소 라디칼의 생성 효율을 높이는 작용을 하는 헬륨(He), 아르곤(Ar), 크립톤(Kr), 크세논(Xe) 등의 불활성 가스를 도입하는 것이 바람직하다.
본 발명에서, 제 2 내부공간에 도입되는 재료가스 이외의 가스로서는, 산소 가스를 함유하여 이루어지는 것이 바람직하다. 제 2 내부공간에 산소 가스를 함유하여 이루어지는 것을 도입하면, 종래의 장치에 의한 실리콘 산화막의 형성에서 부족한 산소를 보충할 수 있어, 보다 고품질의 실리콘 산화막의 형성이 가능하게 되기 때문이다.
또, 기상중(성막처리 공간)에서의 격심한 산화 실리콘의 형성 과정을 제어하기 위해서, 성막처리 공간내에, 암모니아(NH3) 가스, 이산화 질소(NO2) 가스, 에틸렌(C2H4) 가스, 에탄(C2H6) 가스중 1종 또는 2종 이상으로 이루어지는 첨가가스를 도입하는 것이 더욱 바람직하다. 성막처리 공간에 암모니아 등의 첨가가스가 공급되면, 실란 가스와 산소의 연쇄반응을 효과적으로 억제할 수 있다. 그래서, 재료가스로서의 실란 가스 등의 유량을 성막 속도를 증대시킬 목적으로 증가시킨 경우라도, 성막처리 공간에서 라디칼과 실란 가스 등에 의한 과도한 연쇄반응이 회피되는 동시에, 다량의 산화 실리콘이 중합되는 것이 방지되어, 파티클의 발생을 방지할 수 있기 때문이다.
또한, 상기 첨가가스는, 예를 들면, 산소 가스에 첨가하여 제 2 내부공간에 도입하고, 제 2 내부공간으로부터 성막처리 공간에 공급하는 경우에 한정되지 않고, 성막처리 공간에 첨가가스를 공급할 수 있으면, 어느 방법을 채택해도 좋다.
본 발명에서는, 더욱이, 플라즈마 생성 공간에 도입되는 가스의 유량을 제어하는 유량제어기와, 제 2 내부공간에 도입되는 가스의 유량을 제어하는 유량제어기가 구비되고, 이 각각을 독립적으로 제어할 수 있는 것이 바람직하다. 이것에 의해, 성막처리 공간내에 공급되는 산소 라디칼, 산소, 암모니아 등의 양을 각각 별개로 독립하여 제어하는 것이 가능하게 된다. 이것에 의해, 고품질인 실리콘 산화막을 형성하는데에 최적인 양의 산소 라디칼, 산소, 암모니아 등을 성막처리 공간내의 소정의 장소에 도입하는 것이 가능하게 된다. 즉, 실리콘 산화막의 생성 반응 과정을 제어할 수 있어, 고품질인 실리콘 산화막의 형성이 가능하게 되기 때문이다. 그리고, 더욱이, 성막처리 공간으로의 재료가스의 공급량을 증가시켜서 고속 성막을 행한 경우라도, 충분한 양의 산소 라디칼, 산소, 암모니아 등을 성막처리 공간내에 공급할 수 있기 때문에, 고품질인 막 특성을 유지한 성막이 가능하게 되기 때문이다.
(발명의 실시형태)
이하에, 본 발명의 매우 적합한 실시형태를 첨부 도면에 기초하여 설명한다.
도 1은 본 발명에 관계되는 박막형성 장치의 제 1 실시형태를 도시하는 개략도이다. 이 장치에서는, 바람직하게는 실란 가스를 재료가스로서 사용하여, 통상의 TFT용 유리기판상에 실리콘 산화막을 게이트 절연막으로서 성막한다.
본 장치의 진공용기(1)는, 용기(2), 절연재(4), 및 고주파전극(3)에 의해 구성되고, 배기 기구(5)에 의해 그 내부가 원하는 진공상태로 유지된다. 진공용기(1)의 내부에는 도전성부재로 성형된 도전성 격벽판(101)이 설치되어 있고, 진공용기(1)는 이 도전성 격벽판(101)에 의해 상하 2개의 실로 격리된다. 상측의 실은 플라즈마 생성 공간(8)을 형성하고, 하측의 실은 성막처리 공간(9)을 형성한다.
상기 플라즈마 생성 공간(8)에는, 방전 플라즈마에 의해 원하는 활성종을 생성하기 위한 가스를 공급하는 가스 공급원(51)이 유량제어기(61)를 통하여 접속된다. 가스 공급원(51)과 유량제어기(61) 사이에는, 배관, 유량제어기(66)를 통하여 불활성 가스 공급원(53)이 접속되어 있다.
방전 플라즈마에 의해 원하는 활성종을 생성하기 위한 가스로서는, 예를 들면, 산소 가스가 사용되고, 불활성 가스로서는, 예를 들면, 헬륨 가스, 아르곤 가스, 크립톤 가스, 크세논 가스가 사용된다.
플라즈마 생성 공간(8)의 고주파전극(3)에는 고주파전원(11)이 접속되어 있다.
상기 성막처리 공간(9)에 설치된 기판 유지기구(6)상에는 성막처리된 유리기판(10)이 배치되고, 도전성 격벽판(101)에 대향 배치된다. 기판 유지기구(6)의 내부에는 히터(7)가 설치되어 있고, 이것에 의해 유리기판(10)이 소정의 온도에 유지된다.
진공용기(1)를 2개의 실로 격리하는 도전성 격벽판(101)은, 원하는 두께를 가지며, 또한 전체적으로 평판형상의 형상을 갖는다. 도전성 격벽판(101)에는, 복수의 관통 구멍(41)이 분산되어 형성되어 있고, 이들 관통 구멍(41)을 통해서만 플라즈마 생성 공간(8)과 성막처리 공간(9)이 연결되어 있다. 더욱이 도전성 격벽판(101)에는, 서로 격리된 제 1 내부공간(31)과 제 2 내부공간(21)이 형성되어 있다.
제 1 내부공간(31)에는, 재료가스 공급원(52)이 유량제어기(63)를 통해서 접속되어 있다. 재료가스로서는, 예를 들면, 실란 가스가 사용된다.
도 1의 실시형태에서는, 제 2 내부공간(21)에는, 플라즈마 생성 공간(8)에서 원하는 활성종을 생성하기 위한 가스를 공급하는 가스 공급원(51)이 유량제어기(62, 64)를 통해서 접속되어 있다.
또한, 도 1에 파선으로 도시하는 바와 같이, 가스 공급원(51)과 유량제어기(62) 사이에는, 배관, 유량제어기(65)를 통하여 첨가가스 공급원(54)이 접속되어 있다. 첨가가스 공급원(54)으로부터 제 2 내부공간(21)에 공급되는 첨가가스로서는, 예를 들면, 암모니아 가스, 이산화 질소 가스, 에틸렌 가스, 에탄 가스의 일종 또는 이종 이상으로 이루어지는 것을 사용할 수 있다.
제 1 내부공간(31) 및 제 2 내부공간(21)에는 각각 복수의 재료가스 확산 구멍(32)과 가스 확산 구멍(22)이 형성되어 있다. 제 1 내부공간(31), 제 2 내부공간(21)은, 재료가스 확산 구멍(32), 가스 확산 구멍(22)을 통하여 각각 별개로 성막처리 공간(9)과 연결되어 있다.
상기한 바와 같이 구성된 박막형성 장치에 의한 박막형성 방법을 설명한다.
도시하지 않은 반송 로봇에 의해 유리기판(10)이 진공용기(1)의 내부에 반송되어, 성막처리 공간(9)에 설치된 기판 유지기구(6)상에 배치된다.
기판 유지기구(6)는 미리 소정온도로 유지되어 있고, 유리기판(10)은 이것에 의해 소정의 온도로 가열 유지된다.
진공용기(1)의 내부는, 배기 기구(5)에 의해 배기되고, 감압되어 소정의 진공상태로 유지된다. 다음에, 가스 공급원(51)으로부터 예를 들면 산소 가스가 독립적으로 제어되는 유량제어기(61) 및 유량제어기(62, 64)에 의해 유량이 제어되어, 플라즈마 생성 공간(8) 및 제 2 내부공간(21)에 도입된다. 제 2 내부공간(21)에 도입된 산소 가스 등은 가스 확산 구멍(22)을 통해서 성막처리 공간(9)에 공급된다.
한편, 재료가스인, 예를 들면 실란 가스가 유량제어기(63)에 의해 유량이 제어되어, 재료가스 공급원(52)으로부터 제 1 내부공간(31)에 도입된다. 제 1 내부공간(31)에 도입된 실란 가스는 재료가스 확산 구멍(32)을 통해서 성막처리 공간(9)에 공급된다.
상기의 상태에서, 고주파전극(3)에 고주파전원(11)으로부터 전력이 공급되어, 플라즈마 생성 공간(8)내에서 산소 플라즈마가 생성된다. 산소 플라즈마를 생성함으로써, 중성의 여기종인 라디칼(활성종)이 생성된다.
플라즈마 생성 공간(8)에서 생성된 장수명의 산소 라디칼은, 여기되지 않은 산소와 함께 도전성 격벽판(101)의 복수의 관통 구멍(41)을 통과하여 성막처리 공간(9)에 공급된다. 플라즈마 생성 공간(8)내에서는 하전입자도 생성되는데, 이 하전입자는 수명이 짧아, 관통 구멍(41)을 통과하는 동안에 사멸된다.
성막처리 공간(9)에 공급된 산소 라디칼은, 제 1 내부공간(31)으로부터 재료가스 확산 구멍(32)을 통해서 공급된 실란 가스와 반응하고, 이것을 트리거로 한 일련의 반응 결과, 유리기판(10)상에 실리콘 산화막이 형성된다.
이 때, 가스 공급원(51)으로부터 유량제어기(62, 64)를 통하여 제 2 내부공간(21)에 산소 가스가 공급되고, 제 2 내부공간(21)으로부터 가스 확산 구멍(22)을 통해서 산소가 공급된다. 그래서, 성막처리 공간(9)으로의 산소 라디칼과 산소의 공급량을 독립적으로 제어 가능하게 되어, 고품질인 실리콘 산화막을 형성하기 때문에 방전 전력 등의 조정에 의해 산소 라디칼량을 증가시켜도, 충분한 산소를 공급할 수 있다. 즉, 종래의 실리콘 산화막 형성의 반응에서 부족한 산소를 충분히 보충할 수 있어, 종래보다도 고품질의 실리콘 산화막의 형성이 가능하게 된다.
또, 재료가스인 실란 가스의 유량을 증가시켜서 고속성막을 행하는 경우에는, 첨가가스 공급원(54)으로부터 유량제어기(65)를 통하여 제 2 내부공간(21)에, 암모니아 등의 첨가가스를 공급하고, 제 2 내부공간(21)으로부터 가스 확산 구멍(22)을 통해서 성막처리 공간(9)에 암모니아 등을 공급할 수 있다.
즉, 본 발명의 실시형태에 의하면, 재료가스인 실란 가스의 유량을 증가시켜서 고속성막을 행하는 경우라도, 산소 라디칼, 산소, 암모니아 등을 독립적으로 제어해서 성막처리 공간(9)내에 공급할 수 있다. 그래서, 실란 가스의 공급량에 알맞은 충분한 산소 라디칼, 산소, 암모니아 등을 공급 할 수가 있어, 성막처리 공간(9)에서 라디칼과 실란 가스 등에 의한 과도한 연쇄반응이 회피되는 동시에, 다량의 산화 실리콘이 중합되는 것이 방지되어, 형성되는 실리콘 산화막의 막 특성의 유지가 가능하게 된다.
도 2는 본 발명에 관계되는 박막형성 장치의 제 2 실시형태를 도시하는 개략 도이며, 도 1에 도시한 부재와 동일한 부재에는 동일한 부호를 붙이고 있다. 본 실시형태는 상기 제 1 실시형태와 격벽판이 상이하고, 도전성 격벽판(102)에는 플라즈마 생성 공간(8)측의 구멍 직경이 성막처리 공간(9)측의 구멍 직경보다 작은 복수의 관통 구멍(42)이 형성되고, 게다가 산소 가스 등이 공급되는 도전성 격벽판(102)의 제 2 내부공간(23)은, 가스 도입 구멍(24)을 통하여 이 관통 구멍(42)과 통해 있다.
또 본 실시형태에서는, 재료가스인 실란 가스는, 제 1 내부공간(33)으로부터 복수의 재료가스 확산 구멍(34)을 통해서 성막처리 공간(9)에 공급된다.
본 실시형태에서는, 제 2 내부공간(23)으로부터 가스 도입 구멍(24)을 통하여 산소 가스 등을 관통 구멍(42)에 공급하는데, 가스 도입 구멍(24)을 통하여 공급된 산소 가스 등은 관통 구멍(42)이 갖는 형태에 근거하여 플라즈마 생성 공간(8)으로의 확산이 억제되어, 성막처리 공간(9)측에 효율적으로 공급된다. 따라서, 본 실시형태에서도 상술의 제 1 실시형태와 동등 이상의 작용 및 효과를 발휘하게 할 수 있다.
이상, 첨부 도면을 참조하여 본 발명의 바람직한 실시형태를 설명했는데, 본 발명은 이러한 실시형태에 한정되는 것은 아니고, 특허청구의 범위의 기재로부터 파악되는 기술적 범위에서 여러 형태로 변경 가능하다.
예를 들면, 상기 본 발명의 실시형태에서의 박막형성 장치 및 박막형성 방법은, 재료가스로서 실란 가스를 사용한 실리콘 산화막 형성에 대한 적용 예인데, 본 발명의 박막형성 장치 및 박막형성 방법은 이것에 한정되지 않고, TEOS 등의 다른 재료가스를 사용한 실리콘 산화막 형성에도 적용할 수 있는 것은 물론이다.
또 실리콘 산화막뿐만 아니라, 실리콘 질화막 등 그 밖의 성막에도 본 발명의 적용이 가능하다. 더욱이, 실시형태에서는 기판에 유리기판을 사용한 예를 도시했는데, 본 발명의 박막형성 장치 및 박막형성 방법은 이것에 한하지 않고, 실리콘 기판 등 그 밖의 기판에도 적용할 수 있는 것은 물론이다.
또한, 제 1 내부공간(31, 33) 및 제 2 내부공간(21, 23)에는, 가스의 분산을 좋게 하기 위해서, 필요에 따라서 확산판을 설치해도 좋은 것은 말할 필요도 없다.
이상의 설명으로부터 명백한 바와 같이 본 발명에 의하면, 도전성 격벽판에는, 더욱이, 재료가스가 도입되는 제 1 내부공간으로부터 격리되고, 또한 성막처리 공간과 복수의 가스 확산 구멍을 통하여 통해 있는 제 2 내부공간이 설치되고, 이 제 2 내부공간에는, 외부로부터 재료가스 이외의 가스가 도입된다. 즉, 재료가스 이외의 가스가 플라즈마 생성용에 플라즈마 생성 공간에 공급되는 가스 및 재료가스와 별개로 독립적으로 성막처리 공간에 도입된다. 그래서, 재료가스 이외의 가스의 유량은, 플라즈마 생성용에 플라즈마 생성 공간에 공급되는 가스의 유량 및 재료가스의 유량과 각각 독립하여 조절 가능하다. 이것에 의해, 재료가스 이외의 원하는 가스가 성막처리 공간에 소정량만 공급되게 된다.
도전성 격벽판에 형성되어 있는 관통 구멍의 플라즈마 생성 공간측의 구멍 직경을 성막처리 공간측의 구멍 직경보다도 작게 하고, 도전성 격벽판에 설치되어 있는 제 2 내부공간이, 이 관통 구멍과 가스 도입 구멍을 통하여 통해 있는 구조에 의한 것으로 하면, 상술의 효과에 더하여, 제 2 내부공간에 도입한 가스(재료가스 이외의 가스)의 플라즈마 생성 공간으로의 확산이 억지되어, 성막처리 공간내으로의 효율이 좋은 공급이 가능하게 된다.
더욱이, 제 2 내부공간을 통하여 성막처리 공간에 공급되는 가스(재료가스 이외의 가스)를 산소 가스를 함유하여 이루어지는 것으로 함으로써, 상술의 일본 특개 2000-345349호 공보에 개시된 CVD 장치와 같은 종래의 장치에 의한 실리콘 산화막의 형성에서 부족한 산소를 보충할 수 있어, 보다 고품질의 실리콘 산화막의 형성이 가능하게 된다.
또, 성막처리 공간에, 암모니아 가스, 이산화 질소 가스, 에틸렌 가스, 에탄 가스중 어느 1종 또는 2종 이상으로 이루어지는 첨가가스를 공급함으로써, 실란 가스와 라디칼의 연쇄반응을 효과적으로 억제할 수 있다. 이것에 의해, 재료가스로서의 실란 가스 등의 유량을 성막 속도를 증대시킬 목적으로 증가시킨 경우라도, 성막처리 공간에서 라디칼과 실란 가스 등에 의한 과도한 연쇄반응이 회피되는 동시에, 다량의 산화 실리콘이 중합되는 것이 방지되어, 파티클의 발생을 방지할 수 있다.
게다가, 플라즈마 생성 공간에 도입되는 가스의 유량을 제어하는 유량제어기와, 제 2 내부공간에 도입되는 가스의 유량을 제어하는 유량제어기와, 재료가스의 유량을 제어하는 유량제어기를 설치하고, 이들 각각을 독립적으로 제어 가능하게 했을 경우에는, 성막처리 공간내에 공급되는 산소 라디칼, 산소, 암모니아 등의 양을 별개로 독립적으로 제어하는 것이 가능하게 된다. 이것에 의해, 산소 라디칼, 산소, 암모니아 등의 각각에 대하여, 고품질인 실리콘 산화막을 형성하는데 알맞은 양을 소정의 장소에 도입하는 것이 가능하게 된다. 즉, 실리콘 산화막의 생성반응 과정을 제어할 수 있어, 고품질인 실리콘 산화막의 형성이 가능하게 된다. 더욱이, 성막처리 공간으로의 재료가스의 공급량을 증가시켜서 고속성막을 행한 경우라도, 충분한 산소 라디칼의 공급을 행하는 동시에 충분한 산소, 암모니아 등을 공급할 수 있기 때문에, 고품질인 막 특성을 유지한 성막이 가능하게 된다.

Claims (9)

  1. 진공용기의 내부를 2실로 격리하는 도전성 격벽판을 상기 진공용기내에 설치하고, 상기 2실중, 일방의 실은 고주파전극을 배치한 플라즈마 생성 공간으로서 형성되고, 타방의 실은 기판을 탑재하는 기판 유지기구가 배치된 성막처리 공간으로서 형성되고, 상기 도전성 격벽판에는 상기 플라즈마 생성 공간과 상기 성막처리 공간을 통하게 하는 복수의 관통 구멍이 형성되고, 상기 플라즈마 생성 공간에는 방전 플라즈마에 의해 원하는 활성종을 생성하기 위한 가스가 도입되고, 상기 도전성 격벽판의 복수의 상기 관통 구멍을 통해 상기 플라즈마 생성 공간내에서 생성된 원하는 상기 활성종이 상기 성막처리 공간에 공급되고, 더욱이 상기 도전성 격벽판에는 상기 플라즈마 생성 공간으로부터 격리되고, 또한 상기 성막처리 공간과 복수의 재료가스 확산 구멍을 통하여 통해 있는 제 1 내부공간을 가지고, 이 제 1 내부공간에는 외부로부터 재료가스가 도입되고, 복수의 상기 재료가스 확산 구멍을 통해서 상기 성막처리 공간에 공급되고, 상기 성막처리 공간에 공급된 상기 활성종과 상기 재료가스와의 반응을 이용하여 상기 기판에 성막이 행해지는 박막형성 장치에 있어서,
    상기 도전성 격벽판은, 더욱이, 상기 제 1 내부공간으로부터 격리되고, 또한 상기 성막처리 공간과 복수의 가스 확산 구멍을 통하여 통해 있는 제 2 내부공간을 가지고, 당해 제 2 내부공간에는 외부로부터 상기 재료가스 이외의 성막처리를 위한 가스가 도입되고,
    상기 성막처리 공간에 도입되는 첨가가스는, 암모니아 가스, 이산화 질소 가스, 에탄 가스, 에틸렌 가스로부터 선택된 1종 또는 2종 이상으로 이루어지는 것을 특징으로 하는 박막형성 장치.
  2. 진공용기의 내부를 2실로 격리하는 도전성 격벽판을 상기 진공용기내에 설치하고, 상기 2실중, 일방의 실은 고주파전극을 배치한 플라즈마 생성 공간으로서 형성되고, 타방의 실은 기판을 탑재하는 기판 유지기구가 배치된 성막처리 공간으로서 형성되고, 상기 도전성 격벽판에는 상기 플라즈마 생성 공간과 상기 성막처리 공간을 통하게 하는 복수의 관통 구멍이 형성되고, 상기 플라즈마 생성 공간에는 방전 플라즈마에 의해 원하는 활성종을 생성하기 위한 가스가 도입되고, 상기 도전성 격벽판의 복수의 상기 관통 구멍을 통해 상기 플라즈마 생성 공간내에서 생성된 원하는 상기 활성종이 상기 성막처리 공간에 공급되고, 더욱이 상기 도전성 격벽판에는 상기 플라즈마 생성 공간으로부터 격리되고, 또한 상기 성막처리 공간과 복수의 재료가스 확산 구멍을 통하여 통해 있는 제 1 내부공간을 가지고, 이 제 1 내부공간에는 외부로부터 재료가스가 도입되고 복수의 상기 재료가스 확산 구멍을 통해서 상기 성막처리 공간에 공급되고, 상기 성막처리 공간에 공급된 상기 활성종과 상기 재료가스와의 반응을 이용하여 상기 기판에 성막이 행해지는 박막형성 장치에 있어서,
    상기 관통 구멍의 플라즈마 생성 공간측의 구멍 직경이 성막처리 공간측의 구멍 직경보다 작고, 상기 도전성 격벽판은, 더욱이, 상기 제 1 내부공간으로부터 격리되고, 또한 상기 관통 구멍과 가스 도입 구멍을 통하여 통해 있는 제 2 내부공간을 가지고, 당해 제 2 내부공간에는 외부로부터 상기 재료가스 이외의 성막처리를 위한 가스가 도입되고,
    상기 성막처리 공간에 도입되는 첨가가스는, 암모니아 가스, 이산화 질소 가스, 에탄 가스, 에틸렌 가스로부터 선택된 1종 또는 2종 이상으로 이루어지는 것을 특징으로 하는 박막형성 장치.
  3. 제 1 항 또는 제 2 항에 있어서, 상기 재료가스는, 모노실란 가스, 디실란 가스, 트리실란 가스 또는 테트라에톡시실란 가스중 어느 하나인 것을 특징으로 하는 박막형성 장치.
  4. 제 1 항 또는 제 2 항에 있어서, 상기 플라즈마 생성 공간측의 방전 플라즈마에 의해 원하는 활성종을 생성하기 위한 가스는, 산소 가스를 함유하여 이루어지는 것을 특징으로 하는 박막형성 장치.
  5. 제 1 항 또는 제 2 항에 있어서, 상기 플라즈마 생성 공간측의 방전 플라즈마에 의해 원하는 활성종을 생성하기 위한 가스는, 불활성 가스를 함유하여 이루어지는 것을 특징으로 하는 박막형성 장치.
  6. 삭제
  7. 삭제
  8. 제 1 항 또는 제 2 항에 있어서, 상기 플라즈마 생성 공간측의 방전 플라즈마에 의해 원하는 활성종을 생성하기 위한 가스의 유량을 제어하는 유량제어기와, 상기 제 2 내부공간에 도입되는 상기 재료가스 이외의 가스의 유량을 제어하는 유량제어기가 구비되고, 이 각각이 독립적으로 제어 가능한 것을 특징으로 하는 박막형성 장치.
  9. 제 1 항 또는 제 2 항에 기재된 박막형성 장치를 사용하여, 상기 기판에 성막을 행하는 것을 특징으로 하는 박막형성 방법.
KR1020030064311A 2002-09-17 2003-09-17 박막형성 장치 및 방법 KR101012295B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2002-00269581 2002-09-17
JP2002269581A JP3991315B2 (ja) 2002-09-17 2002-09-17 薄膜形成装置及び方法

Publications (2)

Publication Number Publication Date
KR20040025597A KR20040025597A (ko) 2004-03-24
KR101012295B1 true KR101012295B1 (ko) 2011-02-08

Family

ID=31986821

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030064311A KR101012295B1 (ko) 2002-09-17 2003-09-17 박막형성 장치 및 방법

Country Status (7)

Country Link
US (3) US20040050328A1 (ko)
EP (1) EP1420079B8 (ko)
JP (1) JP3991315B2 (ko)
KR (1) KR101012295B1 (ko)
CN (1) CN100390943C (ko)
DE (1) DE60305605T2 (ko)
TW (1) TWI230985B (ko)

Families Citing this family (161)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4306403B2 (ja) * 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
KR101309334B1 (ko) * 2004-08-02 2013-09-16 비코 인스트루먼츠 인코포레이티드 화학적 기상 증착 반응기용 멀티 가스 분배 인젝터
US7396431B2 (en) * 2004-09-30 2008-07-08 Tokyo Electron Limited Plasma processing system for treating a substrate
JP2006261217A (ja) * 2005-03-15 2006-09-28 Canon Anelva Corp 薄膜形成方法
JP4664119B2 (ja) * 2005-05-17 2011-04-06 東京エレクトロン株式会社 プラズマ処理装置
JP4617227B2 (ja) * 2005-09-01 2011-01-19 富士通セミコンダクター株式会社 強誘電体メモリ装置およびその製造方法
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7879401B2 (en) * 2006-12-22 2011-02-01 The Regents Of The University Of Michigan Organic vapor jet deposition using an exhaust
KR20090078538A (ko) * 2008-01-15 2009-07-20 삼성전기주식회사 샤워 헤드와 이를 구비하는 화학 기상 증착 장치
CN102099505A (zh) * 2008-07-30 2011-06-15 京瓷株式会社 沉积膜形成装置及沉积膜形成方法
KR20100078097A (ko) * 2008-12-30 2010-07-08 삼성전자주식회사 막의 두께 산출 방법 및 이를 이용한 막 형성 방법
US20110293853A1 (en) * 2009-02-13 2011-12-01 Mitsui Engineering & Shipbuilding Co., Ltd Thin film forming apparatus and thin film forming method
WO2011024995A1 (ja) * 2009-08-28 2011-03-03 京セラ株式会社 堆積膜形成装置および堆積膜形成方法
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) * 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9982343B2 (en) * 2012-12-14 2018-05-29 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
KR102231596B1 (ko) * 2013-02-06 2021-03-25 어플라이드 머티어리얼스, 인코포레이티드 가스 주입 장치 및 가스 주입 장치를 포함한 기판 프로세스 챔버
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
JP2015160963A (ja) * 2014-02-26 2015-09-07 東京エレクトロン株式会社 ルテニウム膜の成膜方法および成膜装置、ならびに半導体装置の製造方法
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10712005B2 (en) 2017-07-14 2020-07-14 Goodrich Corporation Ceramic matrix composite manufacturing
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10480065B2 (en) 2017-09-19 2019-11-19 Goodrich Corporation Gas distribution for chemical vapor deposition/infiltration
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
WO2020081367A1 (en) 2018-10-19 2020-04-23 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990010957A (ko) * 1997-07-19 1999-02-18 김상호 플라즈마 발생부를 가지는 샤워헤드장치
KR20020001565A (ko) * 2000-06-23 2002-01-09 니시히라 순지 Cvd장치
JP2002246381A (ja) * 2001-02-15 2002-08-30 Anelva Corp Cvd方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000345349A (ja) * 1999-06-04 2000-12-12 Anelva Corp Cvd装置
JP4151862B2 (ja) * 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP4421017B2 (ja) * 1999-07-08 2010-02-24 キヤノンアネルバ株式会社 酸化ケイ素薄膜の成膜方法および成膜装置
JP2001164371A (ja) * 1999-12-07 2001-06-19 Nec Corp プラズマcvd装置およびプラズマcvd成膜法
JP2001214277A (ja) * 2000-01-31 2001-08-07 Canon Inc 堆積膜形成装置および堆積膜形成方法
KR100378871B1 (ko) * 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
KR100419756B1 (ko) * 2000-06-23 2004-02-21 아넬바 가부시기가이샤 박막 형성 장치
JP4382265B2 (ja) * 2000-07-12 2009-12-09 日本電気株式会社 酸化シリコン膜の形成方法及びその形成装置
US6886491B2 (en) * 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
JP2006261217A (ja) * 2005-03-15 2006-09-28 Canon Anelva Corp 薄膜形成方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990010957A (ko) * 1997-07-19 1999-02-18 김상호 플라즈마 발생부를 가지는 샤워헤드장치
KR20020001565A (ko) * 2000-06-23 2002-01-09 니시히라 순지 Cvd장치
JP2002246381A (ja) * 2001-02-15 2002-08-30 Anelva Corp Cvd方法

Also Published As

Publication number Publication date
EP1420079A1 (en) 2004-05-19
JP2004111505A (ja) 2004-04-08
KR20040025597A (ko) 2004-03-24
US20060127600A1 (en) 2006-06-15
US20040050328A1 (en) 2004-03-18
CN100390943C (zh) 2008-05-28
EP1420079B8 (en) 2006-08-23
DE60305605T2 (de) 2007-05-16
CN1490851A (zh) 2004-04-21
US20090126629A1 (en) 2009-05-21
TWI230985B (en) 2005-04-11
TW200419668A (en) 2004-10-01
EP1420079B1 (en) 2006-05-31
DE60305605D1 (de) 2006-07-06
JP3991315B2 (ja) 2007-10-17

Similar Documents

Publication Publication Date Title
KR101012295B1 (ko) 박막형성 장치 및 방법
KR100538406B1 (ko) 실리콘 산화막 제조방법
US20090202721A1 (en) Method for Thin Film Formation
KR100440632B1 (ko) Cvd 장치의 클리닝 방법
KR19990072926A (ko) Cvd성막장치
JP2002016056A (ja) リモートプラズマcvd装置及び膜形成方法
KR20090092257A (ko) Cvd 방법
KR20060053904A (ko) 기판 처리장치 및 이것을 사용한 기판 처리방법
CN110468388B (zh) 原子层沉积法形成氮化物膜的方法
JP4051619B2 (ja) シリコン酸化膜作製方法
CN1102034A (zh) 利用磁场的微波增强型cvd系统和方法
JP2000150500A (ja) シリコン系薄膜の形成方法
KR100678752B1 (ko) 산화규소막을 성막하는 방법 및 장치
JP2003273094A (ja) Cvd装置及びcvd装置における成膜後の後処理工程を行う方法
JP2002164290A (ja) 多結晶シリコン膜の製造方法
KR102146793B1 (ko) 기판 처리 장치
JP4598428B2 (ja) アモルファスシリコン又はポリシリコンの成膜方法
KR20220049461A (ko) 단차형 구조 상에 재료를 증착하는 방법
JP2002175993A (ja) 薄膜製造方法
JPS62188782A (ja) 複数の電界を用いた化合物薄膜の製造方法と製造装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140107

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150105

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160104

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170102

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180103

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190103

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20200103

Year of fee payment: 10