CN100390943C - 薄膜形成装置及形成方法 - Google Patents

薄膜形成装置及形成方法 Download PDF

Info

Publication number
CN100390943C
CN100390943C CNB031581986A CN03158198A CN100390943C CN 100390943 C CN100390943 C CN 100390943C CN B031581986 A CNB031581986 A CN B031581986A CN 03158198 A CN03158198 A CN 03158198A CN 100390943 C CN100390943 C CN 100390943C
Authority
CN
China
Prior art keywords
film forming
gas
mentioned
space
inner space
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB031581986A
Other languages
English (en)
Other versions
CN1490851A (zh
Inventor
熊谷晃
石桥启次
田中雅彦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Anelva Corp
Original Assignee
Anelva Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Anelva Corp filed Critical Anelva Corp
Publication of CN1490851A publication Critical patent/CN1490851A/zh
Application granted granted Critical
Publication of CN100390943C publication Critical patent/CN100390943C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow

Abstract

一种薄膜形成装置,将真空容器内部由导电隔板分成两室,其一为设置高频电极的等离子体生成空间。另一用作基片保持机构的成膜处理空间。导电隔板上有通连此两空间的多个通孔,还有与此生成空间分隔且经多个原料气体扩散孔与该处理空间相通的第一内部空间。用于由放电等离子体生成所需活性基团的气体导入此生成空间,生成的活性基团经上述通孔供给该处理空间。原料气体从外部供给此第一空间,经原料气体扩散孔供给该处理空间,利用所述活性基团与原料气体反应而于基片上成膜。此隔板上尚有与该第一空间分隔经多个气体扩散孔与该处理空间相通的第二内部空间以导入原料气体以外的气体。

Description

薄膜形成装置及形成方法
技术领域
本发明涉及薄膜形成装置及形成方法,特别涉及到利用活性基团的化学反应的薄膜形成装置与形成方法。
背景技术
作为大型液晶显示装置的制造方法,已知有利用高温多晶硅型TFT(薄膜晶体管)的和利用低温多晶硅型TFT的。
在利用高温多晶硅型TFT的制造方法中,为了获得高质量的氧化膜,使用了耐1000℃以上高温的石英基片。与此相反,在低温多晶硅型TFT的制造过程中,由于使用一般的TFT用玻璃基片,需要在低温环境(例如400℃)下进行成膜。
利用低温多晶硅型TFT制造液晶显示器的方法具有不需使用特别的基片而能简单设定成膜条件的优点,近年来正在实用化中并维续扩大其相应产量。
利用低温多晶硅型TFT的液晶显示器的制造,在低温下由适当的氧化硅膜形成栅绝缘膜时,可使用等离子CVD(化学汽相淀积)法。在由这种等离子CVD法形成氧化硅膜中,作为代表性的原料气体可使用硅烷、四乙氧基硅烷(TEOS)等。
在把硅烷等用作原料气体由等离子CVD形成氧化硅膜的情形,当采用已有的等离子CVD装置时,将原料气体与氧气等导入基片的前面空间,由原料气体与氧的混合气体生成等离子体,通过相对于该等离子体漂白基片,以于此基片表面上形成氧化硅膜。
这样,已有的等离子CVD装置构成为,使原料气体直接地供给等离子CVD装置内生成的等离子体中。因此,这是由基片前面空间中存在的等离子体以高能离子入射基片的成膜面,从而会损伤氧化硅膜,产生薄膜特性变差等问题。
还由于是将原料气体直接导入等离子体中,而原料气体与等离子体的剧烈反应会生成粒子,这样就又会有降低成品率的问题。
于是,为了解决上述各问题,曾提出过用远程等离子方式的成膜装置。
作为利用这种远程等离子方式的成膜装置,例如有日本特开平5-21393号公报所公开的等离子CVD装置、日本特开平8-167596号公报所公开的等离子处理装置或日本特开平6-260434号公报(特许第2601127号)中公开的等离子CVD装置等。
在以上各专利公报中最能抑制因高能离子入射致氧化硅膜损伤和产生粒子的装置,是日本特开平6-260434号公报(特许第2601127号)中所公开的等离子CVD装置。
此种等离子体CVD装置具有平行平板的电极结构,在高频电极与基片支座电极之间设置中间电极以分隔高频电极与基片支座电极间的空间,且由于只将高频功经供给高频电极与中间电极之间,也就只于高频电极和中间电极间发生等离子放电,而由等离子放电产生的激励的活性基团与离子则通过中间电极中形成的通孔导入基片前面的空间。
上述高频电极是已有的喷头形式的电极,而等离子生成用气体则通过具有很多孔的扩散板的各个孔,导入等离子生成空间。
原料气体通过气体导入管、中间电极中形成的内部空间与扩散孔(气体喷出口)导入基片的前面空间。
上述日本特开平6-260434号公报(特许第2601127号)公开的等离子CVD装置构成为,高频电极与基片支座电极间的空间由中间电极分隔,只是高频电极与中间电极间的空间形成为等离子体生成空间,等离子体生成区域则与基片所在处相分开。
已有的作为利用远程等离子方式的薄膜形成装置,则有日本特开2000-345349号公报中所公开的CVD装置。
在此日本特开平6-260434号公报(特许第2601127号)中公开的等离子CVD装置中,对形成于中间电极中通孔的形状并未作特别考虑。因而原料气体有可能反向扩散入等离子生成空间。
在日本国特开2000-345349公报所公开的CVD装置中,规定了与该中间电极相当的隔板的通孔形状,而从结构上可靠地抑制了前述反向扩散现象。
这种利用远程等离子方式的已有的薄膜形成装置构成为,将基片设置于离开薄膜形成装置内的等离子体生成空间的消除了短寿命的带电粒子而寿命较长的基团能良好存在的区域中,以使原料气体能就近地供给基片所在区域。等离子体生成空间所生成的基团则朝基片所在的成膜处理空间的方向扩散,供给于基片表面的前面空间。
根据上述远程等离子式的薄膜形成装置,由于能抑制原料气体与等离子体的剧烈反应,故可减少粒子的发生量,进而有还能限制离子对基片入射的优点。
近年来,对器件高性能化的要求日益增高,为与这种要求相适应,在使用等离子CVD装置时,要求有能与热氧化膜相匹敌的高质量氧化硅膜。
在前述的任一种薄膜形成装置中,都是在成膜处理空间内由导入的等离子生成空间生成的活性基团与原料气体起反应而进行成膜。
在上述日本特开2000-345349号公报所示的CVD装置中,将真空容器内部隔成两室的导电性隔板设于上述真空容器内,而将这两室中之一形成设置高频电极的等离子体生成空间,使另一室形成为设有装载基片的基片保持机构的成膜处理空间。上述导电性隔板上形成有许多使所述等离子生成空间与成膜处理空间通连的通孔。与此等离子生成空间内引入用于由放电等离子体生成所需活性基团的气体,生成的所需活性基团的气体即通过隔板上的这许多通孔而供给于上述成膜处理空间。此导电性隔板与该等离子体生成空间隔离开,且具有经由这多个原料气体扩散孔与上述成膜处理空间相通的第一内部空间。原料气体即从外部导入此第一内部空间,通过这多个原料气体扩散孔而供给于上述成膜处理空间。然后利用供给上述成膜处理空间中的上述活性基团与上述原料气体的反应,于所述基片上进行成膜。
具体地说,日本特开2000-345349号公报公开的CVD装置中是按以下方式成膜的。
将氧气引入上述等离子生成空间,通过放电等离子体生成的氧基团(这里指包含基态的原子氧),将此氧基团与氧(在此,只要不是特别称作基团,都指分子状的)通过上述隔板上所设的通孔供给上述成膜处理空间,同时将硅烷气体作为原料气体供给设于上述隔板上的内部空间,通过扩散孔供给上述成膜处理空间。利用这种氧基团、氧、硅烷的反应形成氧化硅膜时,由于能抑制原料气体硅烷与等离子体作剧烈反应而能减少粒子的发生量,还可以限制离子对基片的入射。于是,若用日本特开2000-345349号公报所示的CVD装置,与由日本特开平5-21393号公报等所示等离子CVD装置进行成膜的情形相比,可以获得具有优异特性的氧化硅膜。
但在要求用大型玻璃基片来形成氧化硅膜时,成膜速度与膜特性(电性质等)间便存在互换关系。这就是说,还存在有要保持良好的膜性能就不能提高成膜速度的需改进生产性的问题。
例如用硅烷(SiH4)气体由CVD法制备氧化硅膜时,为了提高成膜速度,可采用增大原料气体硅烷气体流量的方法或增加等离子体生成空间内氧基团量的方法。
但在增大硅烷气体流量时,由于氧基团或氧气在气相中(成膜处理空间)会引起快速的氧化硅的形成反应,就将发生于玻璃基片上不形成薄膜而作为粒子产生的有害情形。
另一方面,若是增加等离子体生成空间内的氧基团量时,随着氧基团量的增加,在成膜处理空间内能贡献于氧化的氧气绝对量就不是,这虽可谋求加快成膜速度,但由于是在氧化不充分状态下成膜,不能指望提高薄膜特性。
发明内容
本发明的目的即在于提供这样的薄膜形成装置与方法,它们能解决上述问题,改进已有成为互换关系的成膜速度与薄膜特性的关系,能形成更高质量的氧化硅膜,且能在保持薄膜性能的同时提高成膜速度,还能在使氧化硅膜高速成膜的同时优化其生产性。
作为达到上述目的的装置,有关其结构的知识首先说明于下。
本发明人等,对于前述特开2000-345349号公报中所公开的那种已有的CVD装置,于所述成膜处理空间内利用氧基团、氧、硅烷的反应形成氧化硅膜的种种,进行了深入的研究。由此了解到,氧基团作为一系列反应的触发剂至关重要,而氧在一系列反应中从最终的一氧化硅(SiO)到二氧化硅(SiO2)的反应是必须有的。具体地说,已得知在这一系列反应中,氧基团与氧这两者都是重要的。
本发明人等还发现,供给成膜处理空间中的氧基团可由供给高频电极的功率与等离子体生成空间的压力控制,这种氧基团的供给量越多,薄膜特性越有提高的可能。
本发明人等根据研究结果认识到,在已有的薄膜形成装置(前述的特开2000-345349号公报所公开的CVD装置这类已有的装置)中,由于氧基团会因导入等离子体生成空间内的氧分解而生成,因而供给到成膜处理空间中的氧量与此氧基团生成量成为互换关系。为了获得良好的薄膜性能,即便增加供给成膜处理空间的氧基团量,这时的氧也会减少致其数量不足以达到最佳水平。由此可知,增加氧基团量虽可提高薄特性,但由于氧量不足而制约了特性的改进。
再有,本发明人等在研究中还发现,增加原料气体硅烷气体等的引入量虽可进行高速成膜,但由于成膜速度与薄膜特性存在互换关系,成膜速度的加大会使可获得的薄膜特性降低。这就是说,要在高速成膜中保持薄膜特性,由于这时的氧量将进一步不足,需要更多地增加氧基团量。
由以上所述可知,为了求得高质量的薄膜特性,在高效地供给氧基团的同时充分地供给氧是重要的。
根据以上认识,本发明的薄膜形成装置与形成方法可取下述结构。
亦即本发明是在真空容器内通过生成等离子体,而使产生活性基团(radical),由这种活性基团与原料气体在基片上进行成膜处理的薄膜形成装置与薄膜形成方法。
上述真空容器中设有将其内部隔成两室的导电性隔板。此两室之中,一室形成为配置高频电极的等离子生成空间,另一室用作设置装载基片的基片保持机构的成膜处理空间。
在上述导电性隔板上设有能通连生成空间与成膜处理空间的多个通孔。导电性隔板还具有与等离子体生成空间分隔且经由许多个原料气体扩散孔与成膜处理空间相通的第一内部空间。此第一内部空间从外部向其中引入原料气体,导入引内部空间的原料气体通过这多个原料气体扩散孔供给成膜处理空间。
在等离子体生成空间中导入用于通过放电等离子体生成所需活性基团的气体,由放电等离子体生成的活性基团通过导电隔板上形成的多个通孔供给成膜处理空间。成膜处理空间利用所供给的原料气体与活性基团起反应,而于基片上进行成膜。
本发明的薄膜形成装置的特征在于,它构造成:在导电性隔板上还设有与原料气体导入的第一内部空间相隔离且通过多个气体扩散孔与成膜处理空间通连的第二内部空间,在此第二内部空间中从外部导入原料气体以外的气体。
本发明另一薄膜形成装置的特征在于,它构造成:于导电性隔板上形成的通孔在等离子体生成空间侧的孔径比成膜处理空间侧的孔径小,在导电性隔板上还设有与原料气体导入的第一内部空间相分隔且通过气体导入孔与通孔相通的第二内部空间,在此第二内部空间中从外部导入原料气体以外的气体。
根据本发明的薄膜形成装置,原料气体以外的气体能通过第二内部空间与原料气体分别独立地导入成膜处理空间,因而原料气体以外的气体流量可独立地调节,可将所需的气体以预定量供给成膜处理空间。此外,根据这里所述的薄膜形成装置,除上述效果外,还能抑制导入第二内部空间的气体扩散入等离子体生成空间,从而可以以良好的效率提供给成膜处理空间。
本发明中,原料气体最好采用甲硅烷、乙硅烷、丙硅烷或四乙氧基硅烷(TEOS)这些气体中之一。此外,这类原料气体也可由稀释用气体稀释。
本发明中,为了使等离子体生成空间内有更多的氧基团供给成膜处理空间,最好于其中导入氧气。
此外,本发明为了在增加氧基团量的条件下不会使成膜处理空间内的氧气量不足,在保持薄膜特性的同时成膜,最好于等离子体生成空间中导入可提高氧基团生成效率的氦(He)、氩(Ar)、氪(Kr)、氙(Xe)等惰性气体。
有发明中,作为导入第二内部空间中的原料气体以外的气体,最好是含有氧气的。当将含有氧气的气体导入第二内部空间后,能补充由已有装置在形成氧化硅膜时不足的氧气,而可形成更高质量的氧化硅膜。
此外,为了控制气相中(成膜处理空间)中氧化硅的急剧形成过程,最好在成膜处理空间内引入由氨(NH3)气、二氧化氮(NO2)气、乙烯(C2H4)气、乙烷(C2H6)气中之一或二种以上组成的添加气体。当将氨等供给于成膜处理空间内后,就能有效地抑制硅烷气与氧的连锁反应。这样,即使为了增大成膜速度的目的而加大硅烷气等原料气体的流量,也能防止成膜处理空间中氧基团与硅烷气等过度的连锁反应,同时能防止大量的氧化硅重叠而可防止粒子的产生。
以上所述的添加气体并不限于例如是添加到氧气中而引入第二内部空间而从第二内部空间供给成膜处理空间的情形,只要是能把添加气体供给于成膜处理空间的方法都是可以采用的。
本发明还具有控制导入等离子体生成空间中的气体流量的流量控制器和控制导入第二内部空间的气体流量的流量控制器,而它们最好是能分别独立控制。这样就能分别独立地控制供给成膜处理空间内的氧基团、氧、氨等的数量。由此就能将用于形成优质氧化硅膜所需最佳量的氧基团、氧、氨等引入成膜处理空间内的预定地点。这就是说,能控制氧化硅膜的生成反应过程而可形成高质量的氧化硅膜。再有,即使在增加供给于成膜处理空间中的原料气体量以进行高速成膜的情形,由于能将足够数量的氧基团、氧、氨等供给于成膜处理空间内,就能在保持高质量的薄膜特性的条件下成膜。
从以上所述可知,根据本发明,于导电性隔板上还设有与原料气体导入的第一内部空间相隔离且通过多个气体扩散孔与成膜处理空间相通的第二内部空间,在此第二内部空间中从外部引入原料气体以外的气体。这就是说,原料气体以外的气体和供给等离子体生成用的等离子体生成空间的气体与原料气体,能分别独立地导入成膜处理空间。于是,原料气体以外的气体流量和供给等离子体生成用的等离子体生成空间的气体流量与原料气体流量,能够分别地独立调节。由此可将原料气体以外的所需气体按预定量供给成膜处理空间。
若是构造成使导电性隔板上形成的通孔在等离子体生成空间一侧的孔径小于成膜处理空间一侧的孔径,且使设于导电性隔板上的第二内部空间通过气体导入孔与该通孔相通,则除上述效果外,还能抑制导入第二内部空间的气体(原料气体之外的气体)扩散到等离子体生成空间,从而能以良好的效率供给成膜处理空间。
通过使经由第二内部空间供给成膜处理空间的气体(原料气体以外的气体)含有氧气,就能补充前述特开2000-345349号公报中所示CVD装置那种已有装置在形成氧化硅膜时的氧气不足,而能形成更优质的氧化硅膜。
通过将氨、二氧化氮、乙烯与乙烷等气体中之一或二种以上组成的添加气体供给成膜处理空间,就能有效地抑制硅烷气体与氧基团的连锁反应。由此,即使是为了提高成膜速度的目的而增加硅烷气体等原料气体的流量时,也能避免成膜处理空间内因氧基团与硅烷气体等的过度连锁反应,得以防止大量的氧化硅重叠而能防止粒子的产生。
本发明还具有控制导入等离子体生成空间中的气体流量的流量控制器和控制导入第二内部空间中气体流量的流量控制器,而在它们能分别独立控制时,就能分别独立地控制供给成膜处理空间内的氧基团、氧、氨等的数量。由此就能将用于形成优质氧化硅膜所需最佳量的氧基团、氧、氨等引入成膜处理空间内的预定地点。这就是说,能控制氧化硅膜的生成反应过程而可形成高质量的氧化硅膜。再有,即使是在增加供给于成膜处理空间中原料气体量以进行高速成膜的情形。由于能将足够数量的氧基团、氧、氨等供给于成膜处理空间内,就能在保持高质量的薄膜条件下成膜。
附图说明
图1是概示本发明第一实施形式结构的纵剖图,
图2是概示本发明第一实施形式结构的纵剖图。
图中各标号的意义如下:
1,真空容器;2,高频电极;6,基片保持机构;8,等离子体生成空间;9,成膜处理空间;10,基片,21、23,第二内部空间;22,气体扩散孔;24;气体导入孔;31、33,第一内部空间;32、34,原料气体扩散孔;51,气源;52,原料气体源;53,惰性气体源;54,添加气体源;61、62、63、64、65与66,流量控制器;101、102,导电性隔板。
具体实施方式
下面参考附图说明本发明的最佳实施形式。
图1概示本发明的薄膜形成装置的第一实施形式。在此装置中,最好把硅烷气体用作原料气体,于通常的TFT用玻璃基片上将氧化硅膜形成为栅绝缘膜。
本装置的真空容器1由容器2、绝缘材料4与高频电极3构成,利用排气机构5使其内部保持成所需的真空状态。在真空容器1的内部设有由导电构件形成的导电性隔板101,真空容器1为此导电性隔板101隔成上下两室。上室形成等离子体生成空间8,下室形成成膜处理空间9。
上述等离子生成空间8通过流量控制器61与用来供给由放电等离子体生成所需活性基团的气体的气源51连接。在气源51与流量控制器61之间,通过配管、流量控制器66与惰性气体源53连接。
可以将例如氧气用作生成由放电等离子体生成所需活性基团的气体,和将例如氦、氩、氪与氙等气体用作惰性气体。
等离子体生成空间8的高频电极3与高频电源11连接。
在设于上述成膜处理空间9上的基片保持机构6之上,则设置能进行成膜处理的玻璃基片10,后者与导电性隔板101相对设置。于基片保持机构6的内部设有加热器7以将玻璃基片10保持于预定温度。
将真空容器1隔成两室的导电性隔板101具有所需厚度,整体成平板形状。导电性隔板101上分散地形成有多个通孔41,等离子体生成空间8与成膜处理空间9只是通过这些通孔41才连通。在此导电性隔板101上还形成有相互隔离的第一内部空间31与第二内部空间21。
第一内部空间31经流量控制器63与原料气体源52连接。作为原料气体例如可用硅烷气体。
在图1的实施形式中,第二内部空间21通过流量控制器62、64与供给用来于等离子体生成空间8中生成所需活性基团的气体的气源51连接。
如图1中虚线所示,在气源51与流量控制器62之间通过配管与流量控制器65同添加气体源54连接。作为从添加气体源54供给第二内部空间21的添加气体,例如可以采用氨、二氧化氮、乙烯与乙烷中的一种或两种以上组成的气体。
第一内部空间31与第二内部空间21中分别形成有许多个原料气体扩散孔32和气体扩散孔22。第一内部空间31与第二内部空间21分别给由原料气体扩散孔32和气体扩散孔22同成膜处理空间9连通。
下面说明取上述结构的薄膜形成装置的薄膜形成方法。
由未图示的搬运机械手将玻璃基片10移送到真空容器1的内部,配置到成膜处理空间9上所设的基片保持机构6上。
基片保持机构6保持于预定的温度,由此将玻璃基片10加热并保持在预定的温度。
真空容器1内部由排气机构5排气,减压而保持成预定的真空状态。然后,来自气源51的气体例如氧气则由进行独立控制的流量控制器61和流量控制器62、64控制其流量,导入等离子体生成空间8与第二内部空间21内。导入第二内部空间21的氧气等通过气体扩散孔22供给成膜处理空间9。
另一方面,原料气体例如硅烷气体由流量控制器63控制其流量,从原料气体源52导入第一内部空间。导入第一内部空间31的硅烷气体通过原料气体扩散孔52供给成膜处理空间9。
在上述状态下,由高频电源11给高频电极3供电,于等离子体生成空间8内生成氧等离子体。通过生成氧等离子体而生成中性的活性基团。
由等离子生成空间8生成的长寿命氧基团与未激励的氧一起,通过导电性隔板101的多个通孔41供给成膜处理空间9。于等离子生成空间8内虽也生成带电粒子,但其寿命短,在经过通孔41的期间即湮灭。
供给成膜处理空间9的氧基团与从第一内部空间31通过原料气体扩散孔32供给的硅烷气体反应,以此作为触发剂的一系列反应的结果,便于玻璃基片10上形成了氧化硅膜。
这时由气源51经流量控制器62、64给第二内部空间21供应氧气,而从第二内部空间21则通过气体扩散孔22供氧。因此,供给成膜处理空间9的氧基团量与氧量能够独立地控制,于是为了形成高质量的氧化硅膜,即使通过调节放电功率等来增加氧基团量,也可供给充分的氧气。这就是说,能充分地补足已有的氧化硅膜形成反应中不足的氧,而可形成质量比过去高的氧化硅膜。
此外,在增大原料气体的流量进行高速成膜时,能从添加气体源54经流量控制器给第二内部空间21供给氨等添加气体,而由第二内部空间21通过气体扩散孔将氨等供给成膜处理空间。
这就是说,根据本发明的实施形式,即使是增大原料气体硅烷的流量进行高速成膜时,也能独立地控制供给到成膜处理空间9内的氧基团、氧、氨等。于是可以供给适合硅烷气体供给量的充分的氧基团、氧与氨等,能够避免于成膜处理空间9内氧基团与硅烷气体等过度的连锁反应,防止大量的氧化硅重叠得以保持所形成的氧化硅膜的薄膜特性。
图2概示本发明的薄膜形成装置的第二实施形式,其中与图1所示部件相同的部件附以相同的标号。本实施形式与上述第一实施形式的隔板不同,在导电性隔板102上所形成的多个通孔42在等离子体生成空间8一侧的孔径比成膜处理空间9一侧的孔径小,而供给氧气等的导电性隔板102的第二内部空间23则通过气体导入孔24与通孔42连通。
在本实施形式中,原料气体硅烷从第一内部空间33通过多个原料气体扩散孔34供给成膜处理空是9。
在本实施形式中是从第二内部空间23通过气体导入孔24将氧气等供给通孔42,但经由气体导入孔24供给的氧气等基于通孔42所取的形状,可以抑制氧气向等离子生成空间8扩散而能高效地将其提供给成膜处理空间9。因此,本实施形式可以实现与上述第一实施形式至少是相同的作用与效果。
上面参考附图说明了本发明的最佳实施形式,但本发明并不局限于有关的实施形式,而是可以在据后述权利要求书所述内容可掌握的技术范围内,作出种种变更形式。
例如,上述本发明的实施形式中的薄膜形成装置与薄膜形式方法足以将硅烷气体用作原料气体来形成氧化硅膜作为适用例进行说明的,但显然也可采用TEOS等其他原料气体来形成氧化硅膜的。
此外,本发明不仅适用于形成氧化硅膜,也可适用于制备氮化硅膜等其他薄膜。又在本实施形式中例示的是使用玻璃基片,但本发明的薄膜形成装置与薄膜形式方法并不局限于此,显然也可采用硅基片等其他基片。
再有,为了使气体在第一内部空间31、33与第二内部空间21、22中良好的分散,显然在有必要时也可设置扩散板。

Claims (9)

1.一种薄膜形成装置,此装置具有真空容器,上述真空容器中设有将其内部隔成两室的导电性隔板,此两室之中,一室形成为配置高频电极的等离子体生成空间,另一室形成为成膜处理空间,设置装有载基片的基片保持机构,在上述导电性隔板上形成有连通上述等子生成空间与成膜处理空间的多个通孔,向此等离子体生成空间中导入用于通过放电等离子体生成所需活性基团的气体,在上述等离子体生成空间生成的活性基团通过导电性隔板上形成的多个通孔供给成膜处理空间,导电性隔板还具有与等离子体生成空间分隔且经由许多个原料气体扩散孔与成膜处理空间相通的第一内部空间,此第一内部空间从外部向其中引入原料气体,导入内部空间的原料气体通过多个原料气体扩散孔供给成膜处理空间,利用供给所述成膜处理空间的原料气体与活性基团起反应,在所述基片上进行成膜;
该薄膜形成装置其特征在于,在上述导电性隔板上还设有与原料气体导入的上述第一内部空间相隔离且通过多个气体扩散孔与该成膜处理空间通连的第二内部空间,在此第二内部中空间中从外部导入原料气体以外的气体。
2.一种薄膜形成装置,此装置具有真空容器,上述真空容器中设有将其内部隔成两室的导电性隔板,此两室之中,一室形成为配置高频电极的等离子体生成空间,另一室形成为成膜处理空间,设置有装载基片的基片保持机构,在上述导电性隔板上形成有连通生成空间与成膜处理空间的多个通孔,向此等离子体生成空间中导入用于通过放电等离子体生成所需活性基团的气体,在上述等离子体生成空间生成的活性基团通过导电性隔板上形成的多个通孔供给成膜处理空间,导电性隔板还具有与等离子体生成空间分隔且经由许多个原料气体扩散孔与成膜处理空间相通的第一内部空间,此第一内部空间从外部向其中引入原料气体,导入内部空间的原料气体通过这多个原料气体扩散孔供给成膜处理空间,利用供给所述成膜处理空间的原料气体与活性基团起的反应,在所述基片上进行成膜;
该薄膜形成装置其特征在于,上述通孔在等离子体生成空间侧的孔径比成膜处理空间侧的孔径小,而在此导电性隔板上还设有与原料气体导入的上述第一内部空间相分隔且通过该气体导入孔与通孔相通的第二内部空间,在此第二内部空间中从外部导入原料气体以外的气体。
3.根据权利要求1或2所述的薄膜形成装置,其特征在于,上述原料气体是甲硅烷、乙硅烷、丙硅烷或甲乙氧基硅烷气体中的任一种。
4.根据权利要求1或2所述的薄膜形成装置,其特征在于,在上述等离子体生成空间一侧用于通过放电等离子体生成所希望的活性基团的气体包含氧气。
5.根据权利要求1或2所述的薄膜形成装置,其特征在于,在上述等离子体生成空间一侧用于通过放电等离子体生成所希望的活性基团的气体包含惰性气体。
6.根据权利要求1或2所述的薄膜形成装置,其特征在于,导入上述第二内部空间中的上述原料气体以外的气体包含有氧气。
7.根据权利要求1或2所述的薄膜形成装置,其特征在于,导入上述第二内部空间中的上述原料气体以外的气体包含从氨、二氧化氮、乙烷、乙烯气体之中选择一或二种以上组成的添加气体。
8.根据权利要求1或2所述的薄膜形成装置,其特征在于,包括:控制上述等离子体生成空间侧由放电等离子体生成所需活性基团所用气体的流量的流量控制器,以及控制导入上述第二内部空间中的上述原料气体以外的气体流量的流量控制器,而这两个流量控制器能分别独立地控制。
9.一种薄膜形成方法,其特征在于应用权利要求1或2所述的薄膜形成装置,
向所述等离子体生成空间中导入用于通过放电等离子体生成所需活性基团的气体,
通过上述导电性隔板上形成的多个通孔将在上述等离子体生成空间生成的活性基团供给成膜处理空间,
向上述导电性隔板上具有的第一内部空间,从外部向其中引入原料气体,该原料气体通过连通上述第一内部空间与成膜处理空间的上述多个原料气体扩散孔供给成膜处理空间,
利用供给上述成膜处理空间的原料气体与活性基团起的反应,在上述基片上进行成膜;
在上述基片上进行成膜处理时,向上述导电性隔板上设有上述第二内部空间中从外部导入原料气体以外的气体并通过通连上述第二内部空间与上述成膜处理空间的多个原料气体扩散孔将其提供给上述成膜空间。
CNB031581986A 2002-09-17 2003-09-17 薄膜形成装置及形成方法 Expired - Fee Related CN100390943C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002269581A JP3991315B2 (ja) 2002-09-17 2002-09-17 薄膜形成装置及び方法
JP269581/2002 2002-09-17

Publications (2)

Publication Number Publication Date
CN1490851A CN1490851A (zh) 2004-04-21
CN100390943C true CN100390943C (zh) 2008-05-28

Family

ID=31986821

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB031581986A Expired - Fee Related CN100390943C (zh) 2002-09-17 2003-09-17 薄膜形成装置及形成方法

Country Status (7)

Country Link
US (3) US20040050328A1 (zh)
EP (1) EP1420079B8 (zh)
JP (1) JP3991315B2 (zh)
KR (1) KR101012295B1 (zh)
CN (1) CN100390943C (zh)
DE (1) DE60305605T2 (zh)
TW (1) TWI230985B (zh)

Families Citing this family (161)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4306403B2 (ja) * 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
JP5519105B2 (ja) * 2004-08-02 2014-06-11 ビーコ・インストゥルメンツ・インコーポレイテッド 化学気相成長の方法及び化学気相成長リアクタ用のガス供給システム
US7396431B2 (en) * 2004-09-30 2008-07-08 Tokyo Electron Limited Plasma processing system for treating a substrate
JP2006261217A (ja) * 2005-03-15 2006-09-28 Canon Anelva Corp 薄膜形成方法
JP4664119B2 (ja) * 2005-05-17 2011-04-06 東京エレクトロン株式会社 プラズマ処理装置
JP4617227B2 (ja) * 2005-09-01 2011-01-19 富士通セミコンダクター株式会社 強誘電体メモリ装置およびその製造方法
US20080193673A1 (en) * 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7879401B2 (en) * 2006-12-22 2011-02-01 The Regents Of The University Of Michigan Organic vapor jet deposition using an exhaust
KR20090078538A (ko) * 2008-01-15 2009-07-20 삼성전기주식회사 샤워 헤드와 이를 구비하는 화학 기상 증착 장치
WO2010013746A1 (ja) * 2008-07-30 2010-02-04 京セラ株式会社 堆積膜形成装置および堆積膜形成方法
KR20100078097A (ko) * 2008-12-30 2010-07-08 삼성전자주식회사 막의 두께 산출 방법 및 이를 이용한 막 형성 방법
US20110293853A1 (en) * 2009-02-13 2011-12-01 Mitsui Engineering & Shipbuilding Co., Ltd Thin film forming apparatus and thin film forming method
EP2471973A1 (en) * 2009-08-28 2012-07-04 Kyocera Corporation Apparatus for forming deposited film and method for forming deposited film
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9982343B2 (en) * 2012-12-14 2018-05-29 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
KR102231596B1 (ko) * 2013-02-06 2021-03-25 어플라이드 머티어리얼스, 인코포레이티드 가스 주입 장치 및 가스 주입 장치를 포함한 기판 프로세스 챔버
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
JP2015160963A (ja) * 2014-02-26 2015-09-07 東京エレクトロン株式会社 ルテニウム膜の成膜方法および成膜装置、ならびに半導体装置の製造方法
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10712005B2 (en) 2017-07-14 2020-07-14 Goodrich Corporation Ceramic matrix composite manufacturing
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10480065B2 (en) 2017-09-19 2019-11-19 Goodrich Corporation Gas distribution for chemical vapor deposition/infiltration
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
WO2020081367A1 (en) 2018-10-19 2020-04-23 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000345349A (ja) * 1999-06-04 2000-12-12 Anelva Corp Cvd装置
CN1315587A (zh) * 2000-01-31 2001-10-03 佳能株式会社 通过等离子体cvd方法形成沉积膜的装置和方法
JP2002025998A (ja) * 2000-07-12 2002-01-25 Nec Corp 酸化シリコン膜の形成方法及びその形成装置
US6427623B2 (en) * 2000-06-23 2002-08-06 Anelva Corporation Chemical vapor deposition system

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100243446B1 (ko) * 1997-07-19 2000-02-01 김상호 플라즈마 발생부를 가지는 샤워헤드장치
JP4151862B2 (ja) * 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP4421017B2 (ja) * 1999-07-08 2010-02-24 キヤノンアネルバ株式会社 酸化ケイ素薄膜の成膜方法および成膜装置
JP2001164371A (ja) * 1999-12-07 2001-06-19 Nec Corp プラズマcvd装置およびプラズマcvd成膜法
KR100378871B1 (ko) * 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
KR100419756B1 (ko) * 2000-06-23 2004-02-21 아넬바 가부시기가이샤 박막 형성 장치
JP2002246381A (ja) * 2001-02-15 2002-08-30 Anelva Corp Cvd方法
US6886491B2 (en) * 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
JP2006261217A (ja) * 2005-03-15 2006-09-28 Canon Anelva Corp 薄膜形成方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000345349A (ja) * 1999-06-04 2000-12-12 Anelva Corp Cvd装置
CN1315587A (zh) * 2000-01-31 2001-10-03 佳能株式会社 通过等离子体cvd方法形成沉积膜的装置和方法
US6427623B2 (en) * 2000-06-23 2002-08-06 Anelva Corporation Chemical vapor deposition system
JP2002025998A (ja) * 2000-07-12 2002-01-25 Nec Corp 酸化シリコン膜の形成方法及びその形成装置

Also Published As

Publication number Publication date
JP3991315B2 (ja) 2007-10-17
DE60305605T2 (de) 2007-05-16
CN1490851A (zh) 2004-04-21
KR101012295B1 (ko) 2011-02-08
EP1420079B8 (en) 2006-08-23
US20040050328A1 (en) 2004-03-18
JP2004111505A (ja) 2004-04-08
US20060127600A1 (en) 2006-06-15
DE60305605D1 (de) 2006-07-06
US20090126629A1 (en) 2009-05-21
TW200419668A (en) 2004-10-01
TWI230985B (en) 2005-04-11
EP1420079A1 (en) 2004-05-19
KR20040025597A (ko) 2004-03-24
EP1420079B1 (en) 2006-05-31

Similar Documents

Publication Publication Date Title
CN100390943C (zh) 薄膜形成装置及形成方法
CN100568463C (zh) 薄膜形成方法
CN108660438B (zh) 衬底处理装置、半导体器件的制造方法及记录介质
CN100392148C (zh) 用单晶片低压cvd淀积氧化硅和氮氧化物的方法
CN1831192B (zh) 半导体处理用成膜方法和成膜装置
CN100567564C (zh) 形成高质量的低温氮化硅层的方法和设备
CN102598218B (zh) 等离子体cvd装置、及硅薄膜的制造方法
CN101199044A (zh) 硅基电介质的化学气相沉积方法
CN102498546A (zh) 成膜装置
CN101118841A (zh) 半导体处理用的热处理装置
CN110178201A (zh) 用于低温氮化硅膜的方法及设备
JP2013197307A (ja) 半導体装置の製造方法及び基板処理装置
CN101436533A (zh) 使用搀杂物和具有受控晶体结构的多层硅薄膜来调整多晶硅薄膜和周围层的应力
CN101409232A (zh) 多晶硅膜的形成方法
CN112002631A (zh) 一种钝化接触太阳能电池的板式一体式镀膜方法及设备
JPH07230957A (ja) ボロン含有ポリシリコン膜の形成方法
CN1210764C (zh) Cvd方法
CN103305906A (zh) 外延沉积氮化iii族或氮化ii族材料的反应腔室
KR100248563B1 (ko) 실리콘막의 성막방법
JPH04326512A (ja) ドープド薄膜の成膜方法
JP5336956B2 (ja) 半導体装置の製造方法及び基板処理装置
JPH07307292A (ja) 成膜方法およびこれに用いる減圧cvd装置
CN108807142B (zh) 半导体器件的制造方法、衬底处理装置及记录介质
CN111048451B (zh) 气体流通装置、退火炉以及对太阳能电池进行退火的方法
KR101404133B1 (ko) 실란 생성 장치, 실란 생성 방법 및 이를 이용한 규소 강판의 제조방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080528