KR100885343B1 - 판형 대상물을 수용하기 위한 장치 및 상기 대상물을핸들링하기 위한 장치 - Google Patents

판형 대상물을 수용하기 위한 장치 및 상기 대상물을핸들링하기 위한 장치 Download PDF

Info

Publication number
KR100885343B1
KR100885343B1 KR1020037014971A KR20037014971A KR100885343B1 KR 100885343 B1 KR100885343 B1 KR 100885343B1 KR 1020037014971 A KR1020037014971 A KR 1020037014971A KR 20037014971 A KR20037014971 A KR 20037014971A KR 100885343 B1 KR100885343 B1 KR 100885343B1
Authority
KR
South Korea
Prior art keywords
delete delete
wafer
vacuum
support
carrier
Prior art date
Application number
KR1020037014971A
Other languages
English (en)
Other versions
KR20030093358A (ko
Inventor
아르투르 펠츠만
마르틴 드레히슬러
위르겐 니이쓰
미하엘 그란디
힌 위 충
파울 만츠
오트마 그라프
Original Assignee
맷슨 써멀 프로덕츠 게엠베하
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 맷슨 써멀 프로덕츠 게엠베하 filed Critical 맷슨 써멀 프로덕츠 게엠베하
Priority claimed from PCT/EP2002/004790 external-priority patent/WO2002095795A2/de
Publication of KR20030093358A publication Critical patent/KR20030093358A/ko
Application granted granted Critical
Publication of KR100885343B1 publication Critical patent/KR100885343B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68313Auxiliary support including a cavity for storing a finished device, e.g. IC package, or a partly finished device, e.g. die, during manufacturing or mounting

Abstract

열 처리를 위해 판형 대상물, 바람직하게는 반도체 웨이퍼를 수용하기 위한 장치로서, 반도체를 특히 간단한 방식으로 연결하는 웨이퍼의 프로세싱을 가능하게 한다. 본 발명의 장치는 캐리어가 대상물을 각각 수용하기 위한 두개 이상의 리세스를 가질 때 높은 생산율 및 낮은 손상 위험을 제공한다. 캐리어상의 리세스는 커버가 제공되는 것이 바람직하다. 바람직하게는, 지지 핀이 로딩 및 언로딩 목적을 위해 제공된다. 캐리어 및 지지 핀은 서로에 대해 수직 방향으로 이동할 수 있다. 대상물용 핸들링 장치가 공개된다.

Description

판형 대상물을 수용하기 위한 장치 및 상기 대상물을 핸들링하기 위한 장치{DEVICE FOR RECEIVING PLATE-SHAPED OBJECTS AND DEVICE FOR HANDLING SAID OBJECTS}
본 발명은 열처리를 위해 디스크형 대상물, 바람직하게는 반도체 웨이퍼를 수용하기 위한 장치에 관한 것이다. 본 발명은 또한 대상물용 핸들링 장치에 관한 것이다.
전자 부품의 산업적 제조를 위해, 디스크 형상, 소위 웨이퍼를 가지는 반도체 재료가 열처리된다. 특히 RTP 유닛(급속 열 처리(Rapid Thermal Processing))으로도 알려진 급속 가열 유닛에 의한 웨이퍼와 같은 대상물의 열처리가 연속적으로 강조된다. RTP 유닛의 주요 장점은 높은 처리량이고 이는 웨이퍼를 매우 급속하게 가열하는 가능성을 기초로 한다. 300 ℃/s의 가열율은 RTP 유닛에서 달성될 수 있다.
RTP 유닛은 필수적으로 투명한(transparent) 프로세스 챔버를 포함하는데, 여기에서 처리되는 웨이퍼가 적절한 지지 장치에 배치될 수 있다. 더욱이, 웨이퍼에 부가하여, 예를 들면 광 흡수판, 웨이퍼를 스팬(span)하는 보상 링, 또는 웨이퍼를 회전 또는 경사시키는 장치와 같은 다양한 보조 요소가 프로세스 챔버에 배치될 수 있다. 프로세스 챔버는 적절한 가스 유입구 및 유출구가 제공될 수 있어 웨이퍼가 처리되는 프로세스 챔버내에서 미리 정해진 분위기를 발생시킨다. 웨이퍼는 웨이퍼 위 또는 웨이퍼 아래 또는 양 측부에 배치될 수 있고 다수의 램프, 로드 또는 포인트형 램프 또는 이들의 조합물로 이루어지는 가열 장치로부터 발생되는 열 복사에 의해 가열된다. 전체 배열체는 외부 챔버에 의해 둘러 싸이고 외부 챔버의 내벽은 완전히 또는 적어도 부분적으로 반사된다.
다른 RTP 유닛에서, 웨이퍼는 가열 플레이트, 서셉터에 배치되고 이러한 서셉터와의 열 접촉에 의해 가열된다.
예를 들면 GaN, InP, GaAs 또는 예를 들면 InGaAs와 같은 3개의 성분으로 된 혼합물 또는 InGaAsP와 같은 4개의 성분으로된 혼합물과 같은 Ⅲ-Ⅴ 또는 Ⅱ-Ⅳ 반도체와 같은 연결 또는 조합 반도체는 일반적으로 반도체의 하나의 성분이 휘발성이고 웨이퍼의 가열시 웨이퍼로부터 증발한다는 문제점이 있다. 이 같은 웨이퍼의 엣지 영역에는 주로 증발된 성분의 감소된 농도를 가지는 가열 영역을 초래한다. 그 결과는 예를 들면 전기 전도도와 같은, 이러한 영역에서의 웨이퍼의 물리적 특성의 변경이며 이는 웨이퍼를 전기 성분의 제조를 위해 사용할 수 없게 한다.
본 출원인으로부터 시작된 두 개의 공보 US 5 872 889 A 및 US 5 837 555 A로부터, 열 처리를 위한 흑연의 폐쇄된 리셉터클(receptacles)에 조합 반도체의 웨이퍼를 배치하는 것이 알려져 있다. 고온에서의 안전성 때문에, 흑연은 이 같은 리셉터클에 대해 특히 적합하다. 웨이퍼는 웨이퍼를 수용하기 위한 리세스를 가지는 지지부에 배치된다. 리세스 위에 배치된 것은 리드형 커버이며 따라서 폐쇄된 공간에는 웨이퍼가 배치된다. 웨이퍼가 들어 있는 이러한 흑연 리셉터클은 RTP 유닛의 프로세스 챔버에서 열 처리된다. 이러한 방식으로, 조합 반도체의 성분이 퍼져 빠지는 것이 억제되고 웨이퍼가 안전하게 처리될 수 있다.
상술된 흑연 리셉터클은 주로 200 mm 및 300 mm의 직경을 가지는 조합 반도체의 프로세싱 웨이퍼에 사용된다. 그러나, 매우 통상적인 것은 또한 500 mm, 100 mm, 또는 150 mm의 작은 직경을 가지는 조합 반도체의 웨이퍼이다.
본 발명의 목적은 조합 반도체의 웨이퍼가 높은 생산성과 간단한 방식으로 안전하게 처리될 수 있도록 하는 것이다.
본 발명에 따라, 이러한 목적은 웨이퍼를 각각 수용하기 위한 적어도 두 개의 리세스를 가지는 캐리어에 의해 실현된다. 이 같은 캐리어로, 다수의 웨이퍼가 동시에 처리될 수 있다. 공지된 처리 방법과 달리, 이는 RTP 유닛의 처리량의 상당한 증가를 의미하며 상당히 경제적인 장점을 제공한다.
하나의 특별하고 유용한 장점에 따라, 본 발명의 장치는 대상물에 대한 필수적으로 폐쇄된 공간을 제공하기 위해 하나 이상의 리세스를 덮기 위한 하나 이상의 커버를 갖는다.
예를 들면, 단일의 대형 커버는 들어있는 웨이퍼를 가진 캐리어의 리세스 모두를 덮는 것이 가능하다. 그러나, 이와 달리 각각의 리세스는 또한 개별 커버에 의해 덮혀질 수 있다. 또한, 비록 하나 이상이고 모두가 아니거나, 어떠한 바람직한 수의 리세스가 개별적으로 덮혀 있고 리세스의 나머지가 덮혀 있지 않지만, 커 버 중 하나가 어떠한 바람직한 수의 리세스를 동시에 덮는 것이 가능하다. 이 같은 커버는 다른 유사한 커버를 구비할 뿐만 아니라 각각의 커버에 대한 개별적인 커버를 가지고 그리고 커버가 없는 리세스로 어떠한 원하는 방식으로 조합될 수 있다.
리세스에 제공되는 캐리어는 바람직하게는 흑연, 사파이어, 석영, 붕소 질화물, 알루미늄 질화물, 규소, 탄화규소, 질화규소, 세라믹 또는 금속으로 제조되는 것이 바람직하다. 유사하게, 하나 이상의 커버가 흑연 또는 사파이어 또는 석영 또는 붕소 질화물 또는 알루미늄 질화물 또는 규소 또는 탄화규소 또는 질화규소 또는 세라믹 또는 금속으로 제조될 수 있다. 그러나, 캐리어 뿐만 아니라 하나 이상 또는 모든 커버가 또한 상술된 재료로 제조될 수 있다.
RTP 프로세스에 대해, 유용하게 캐리어가 캐리어 및/또는 하나 이상의 커버의 낮은 비열용량(a low specific thermal capacity), 바람직하게는 0.2 내지 0.8 J/gK를 갖는다. 이러한 이유 때문에, 캐리어는 가능한 두께가 얇아야 한다.
유사하게, 하나 이상의 커버를 가지는 캐리어가 캐리어 및/또는 커버들 중 하나 이상이 높은 열 전도율, 바람직하게는 10 내지 100 W/mK를 가지는 것이 유용하다.
캐러어의 적어도 일 부분, 또는 커버들 중 하나의 부분, 또는 캐리어의 부분 및 커버들 중 하나의 부분이 코팅되는 것이 바람직하다. 예를 들면, 웨이퍼의 프로세싱 동안 덮혀진 리세스 내에 발생되는 화학적 프로세스에 대해 불활성이 되는 코팅을 구비하고 리세스 모두 또는 리세스 들중 하나의 내부 표면 뿐만 아니라 하 나 이상의 커버의 리세스를 덮는 표면이 제공되는 것이 바람직하며, 반면에 캐리어의 외부 표면이 열 방사에 대해 원하는 흡수 특성을 갖도록 코팅되지 않는다. 다른 경우, 예를 들면 캐리어 및 커버의 국부 광학 특성이 외측 표면의 적절한 영역 와이즈 코팅(suitable area wise coating)에 의해 달성될 수 있다.
유사하게, 캐리어의 적어도 부분들 또는 커버들 중 하나 이상의 부분들 또는 캐리어의 부분들 및 커버들 중 적어도 하나의 부분들을 열 방사에 대해 이들을 석영 또는 사파이어로 제조함으로써 투명하게 만드는 것이 유용하다. 커버 뿐만 아니라 리세스의 베이스 표면에 대응하는 캐리어의 부분들이 열 방사에 대해 투명하지 않은 것이 유용한 반면, 캐리어의 다른 부분들은 투명하다.
더욱이, 덮혀진 리세스내의 예정된 분위기를 생산하는 것이 가능하다. 처리되는 웨이퍼의 타입에 따라, 상이한 분위기가 각각의 덮혀진 리세스에 존재할 수 있다. 예를 들면, 하나 이상이 제 1 리세스에서 InP 웨이퍼가 처리되는 경우, 인 함유 분위기가 리세스에 존재한다. GaAs 웨이퍼가 처리되는 적어도 두 번째에서, 제 2 리세스에, 비소 함유 분위기가 존재한다. 마지막으로, 적어도 세번째에서, 리세스가 덮히지 않은 경우, 웨이퍼가 처리될 수 있는데, 여기에는 실리콘 즉 조합 반도체를 포함하지 않는다.
캐리어에 의해 수용된 웨이퍼 중 적어도 일부가 적어도 부분적으로 코팅될 수 있다. 그러나, 웨이퍼들 중 적어도 하나의 볼륨 용적이 또한 예를 들면 웨이퍼에 이식된 층이 제공되는 영역에서 변화될 수 있다.
프로세스 챔버에서 통상적으로 열처리되는 다수의 웨이퍼를 위한 본 발명의 캐리어는 각각의 웨이퍼에 대한 열 방사의 동일한 코스로 상이한 프로세스 결과를 달성하는 것이 동일한 프로세스 단계 동안 가능하게 만든다. 캐리어 및/또는 대응하는 커버의 국부 영역의 투명성 또는 코팅에 따라, 국부적으로 상이한 광학 상태가 덮혀진 리세스의 내부에 상이한 온도를 초래하도록 달성될 수 있다. 따라서, 비록 열 방사 경로가 모든 웨이퍼에 대해 동일하지만, 각각의 웨이퍼는 개별적인 프로세스 온도를 경험한다. 따라서, 하나의 프로세싱 단계로, 다수의 웨이퍼를 동시에 처리하는 것 뿐만 아니라 이와 같이 함으로써 웨이퍼가 상이한 프로세스에서 처리될 수 있다. 이는 상이한 재료의 웨이퍼가 동시에 처리되는 것을 의미한다.
캐리어에 있는 리세스는 캐리어의 로딩 후, 웨이퍼가 동일한 평면에 모두 평행하게 배치되도록 동일한 깊이를 가지는 것이 바람직하다.
그러나, 또한 리세스의 깊이를 변화시키는 것이 유용할 수 있다. 이러한 경우, 비록 웨이퍼가 항상 서로에 대해 평행하게 배치되지만, 웨이퍼가 높이 방향으로 오프셋되어 웨이퍼가 상이한 면에 배치된다.
평평한 수평 베이스를 가지는 원통형 리세스에 대해, 웨이퍼는 리세스의 베이스에 평평하게 놓인다.
적어도 하나의 리세스 내의 웨이퍼의 지지부가 유용하게 선택되어, 리세스의 베이스와 웨이퍼 상의 접촉을 피할 수 있다. 이는 웨이퍼가 수용되고 리세스에 배치되는 핀형 지지 요소에 의해 유용하게 달성된다. 깊이가 동일하지만 지지 요소의 길이가 다르므로, 웨이퍼는 상이한 높이의 평면에 배치될 수 있다.
리세스의 베이스와의 접촉을 피할 수 있도록 웨이퍼를 배치하는 또 다른 바 람직한 가능성은 웨이퍼의 림 부분을 지지하는 것이다. 이는 원뿔형상으로 내측으로 테이퍼지도록 적어도 하나의 리세스를 형성함으로써 달성된다. 이러한 방식으로, 리세스의 내측으로 경사진 엣지가 웨이퍼의 림 지지부로 이끌어지도록 얻어 진다. 또 다른 실시예에 따라, 적어도 하나의 리세스가 다시 리세스의 엣지에 웨이퍼의 림을 지지하도록 하는 오목한 형상을 가진다. 원뿔형 및 오목한 리세스의 설계에 따라, 웨이퍼가 상이한 높이로 배치될 수 있다.
캐리어를 로딩하기 위해, 웨이퍼가 리세스 또는 지지 핀으로 직접 그리퍼를 경유하여 유용하게 순차적으로 배치된다. 이러한 목적에 대해 적절한 것은 웨이퍼를 끌어들이는 흡입 장치를 가지는 그리퍼이다. 이는 베루누이 원칙(Bernoulli principle)에 따라 작동되는 흡입 장치를 경유하여 실행될 수 있다.
지지 핀은 캐리어의 로딩을 유용하게 제공하고 바람직하게는 캐리어를 통하여 연장한다. 이러한 지지 핀은 그리퍼와 직면하는 리세스의 로딩을 제공하는 지지 핀에 의해 그리퍼로부터 원격에 있는 리세스의 로딩을 방해하지 않도록 상이한 리세스에 대해 상이한 높이를 가지는 것이 유용하다.
유용하게, 커버는 캐리어를 통하여 연장하거나 캐리어의 외부에 완전히 배치되는 지지 핀에 배치될 수 있다. 커버용 지지 핀은 웨이퍼용 지지 핀 보다 유용하게 더 길다.
지지 핀 및 캐리어는 서로에 대해 수직으로 가동되는 것이 바람직하다.
지지 핀 상에 웨이퍼가 배치되자 마자, 지지 핀은 캐리어를 통하여 하방으로 이동하고 그 결과 웨이퍼가 지지 핀으로부터 상승되고 웨이퍼와 관련된 리세스에 배치된다. 이와 달리, 캐리어는 또한 상방으로 이동할 수 있다.
캐리어를 로딩하기 위한 또 다른 바람직한 방법은 순차적으로 수직 축선에 대해 캐리어를 회전시켜 그리퍼로 로딩되는 리세스를 각각 회전시키도록 한다.
캐리어가 와이퍼에 로딩되자 마자, 커버가 웨이퍼 전에 적절한 지지 핀에 이미 배치되지 않은 경우, 대응하는 커버는 캐리어에 직접 배치되거나 그리퍼에 의해 핀에 지지될 수 있다.
캐리어의 로딩은 프로세스 챔버내에서 실행되는 것이 바람직하다. 그러나, 또한 프로세스 챔버의 외부에 로딩될 수 있으며 후속적으로 열 처리를 위해 프로세스 챔버로 도입될 수 있다.
커버를 가진 다수의 이 같은 캐리어는 예를 들면 열 처리를 위해 프로세스 챔버내에 서로에 대해 다음으로 또는 하나 위에 적층되는 것이 유용할 수 있다.
기판 및/또는 커버를 구비한 캐리어의 로딩 및 언로딩은 로딩 및 언로딩 프로세스에 대응하여 적절히 제어될 수 있는 자동 로딩 및 언로딩 유닛으로 실행되는 것이 바람직하다.
본 발명의 장치는, 비록 배타적인 것은 아니지만, 바람직하게는 주로 작은 직경을 가진 조합 반도체의 웨이퍼에 대해 특히 적절하다. 반도체 웨이퍼의 열 처리는 미리정해진 환경 조건 및 온도 프로파일이 설정되는 RTP 유닛에서 실행되는 것이 바람직하다. 이와 관련하여, 처리 동안 캐리어가 환경 조건 및 온도에서 매우 안정적이다.
전술된 바와 같이, 반도체 웨이퍼, 특히 조합 반도체 웨이퍼가 상대적으로 얇으며 50 내지 500 ㎛, 및 통상적으로 200 ㎛의 두께를 갖는다. 따라서 이러한 웨이퍼는 핸들링 동안 파손되기가 쉬워, 손에 의한 종래의 핸들링으로 또는 로봇 등과 같은 핸들링 장치로, 웨이퍼의 파손이 자주 발생하여 반도체의 제조 동안 생산량이 상당히 감소된다. 특히 예를 들면, 레이저 다이오드와 같은 고가의 부품에 대해 사용되는 반도체 웨이퍼로, 이는 특히 명백하며, 이는 이러한 목적을 위한 2인치 웨이퍼가 €25,000의 범위에 있는 값을 갖는다.
이전에 언급한 바와 같이, 웨이퍼는 예를 들면 흑연으로 제조되는 리셉터클에서 처리되어 웨이퍼의 처리를 위해 프로세스 챔버로 도입된다. 이러한 소위 흑연 박스는 박스에 수용되어지는 웨이퍼의 크기 및 개수에 따라, 200 내지 2,000g의 중량을 갖는다.
웨이퍼 뿐만 아니라 리셉터클은 이 같은 유닛으로 수동으로 처리되는데, 이는 종래의 핸들링 장치로 0.1 내지 20 g의 범위에 있는 중량을 가지는 매우 얇은 반도체 웨이퍼를 핸들링하는 것이 하나의 손으로 가능하지 않으며, 웨이퍼의 손상에 의한 높은 거부율을 가지지 않고, 대조적으로 무거운 리셉터클을 다른 손으로 핸들링하는 것이 가능하지 않기 때문이다.
따라서, 본 발명의 목적은 상이한 중량을 가지는 대상물이 안전적이고 확실하게 핸들링되는 핸들링 장치를 제공하는 것이다.
본 발명에 따라, 설명된 목적은 적어도 하나의 이송 아암을 가지는 핸들링 장치로 실현되는데, 적어도 하나의 이송 아암은 대상물의 중량의 기능으로서 진공을 변경하기 위한 진공 제어 장치에 의해, 차례로 핸들링되는 적어도 하나의 대상물을, 진공을 경유하여, 지지하기 위한 적어도 하나의 지지 장치를 가진다.
이송 아암 상의 지지 장치의 진공이 설정될 수 있는 진공 제어 장치를 제공하는 본 발명의 특징에 따라, 매우 상이한 중량을 가지는 동일한 핸들링 장치, 대상물과 하나로 수송 및 핸들링하는 것이 가능하다. 예를 들면, 본 발명의 핸들링 장치로, 특히 하나의 손으로 예를 들면 상대적으로 무거운 리셉터클이 웨이퍼의 파손을 피하면서 저 중량인 매우 얇은 파손 웨이퍼인 동일한 핸들링 장치로 핸들링될 수 있는 방식으로, 수동 핸들링을 피하면서 웨이퍼 및 웨이퍼 리셉터클의 수송 및 핸들링을 수행하는 것이 가능하다. 따라서 본 발명의 핸들링 장치는 예를 들면 프로세스 챔버로 또는 프로세스 챔버로부터 리셉터클의 로딩 뿐만 아니라 언로딩을 가능하게 할 뿐 만 아니라, 리셉터클로 그리고 리셉터클로부터 얇고 파손가능한 웨이퍼의 로딩 및 언로딩도 가능하게 한다. 이와 같이 함으로써 특히 또한 열 처리와 관련하여 반도체 웨이퍼의 프로세싱의 완전한 자동화 가능성이 제공되는 사실과는 별도로, 이는 단일 핸들링 장치로 발생되어 장비 비용이 낮아 질 수 있다. 본 발명의 핸들링 장치로 가능하게 되는 프로세스 자동화로, 생산량이 상당히 증가하는데, 이는 프로세스 챔버 및 리셉터클의 수동 로딩 및 언로딩 동안 자주 발생되는 웨이퍼의 파손이 적어도 상당히 감소되기 때문이다. 유닛이 매우 고가의 부품을 제조하기 위해 사용되는 경우, 낮은 거부율 및 급속하고 확실한 핸들링에 의해, 본 발명의 핸들링 장치를 가지는 처리 유닛은 따라서 종래의 처리 유닛 보다 상당히 빨리 감가상각된다.
본 발명의 하나의 바람직한 일 실시예에 따라, 진공 제어 장치는 하나의 진공 공급원 및 진공 변환 장치, 예를 들면 진공 조절기를 가지거나 가지지 않는 라인 사이의 스위칭을 위한 라인 변환 스위치를 포함한다. 이러한 방식으로, 오직 하나의 진공 공급원이 요구되어, 진공 조절기가 조절가능한 밸브인 것이 바람직하다. 다른 일 실시예에 따라, 적어도 두 개의 개별적으로 제어가능한 진공 시스템이 제공된다.
본 발명의 하나의 유용한 실시예에 따라, 처리되고 상이한 중량을 가지는 대상물에 대한 압력 비율은 10 내지 10,000의 범위이다. 이러한 진공 비율은 필수적으로 처리되고 또한 지지 장치의 설계인 대상물의 중량 비율의 함수이다.
본 발명의 매우 유용한 일 실시예에 따라, 낮은 중량을 가지는 대상물은 실리콘 반도체 웨이퍼이며, 더 큰 중량을 가지는 대상물은 웨이퍼가 하나의 처리 단계 동안 배치되는 리셉터클이다. 이러한 타입의 리셉터클은 예로서 이전에 설명되었다.
상이한 중량을 가지는 대상물용 지지 장치가 비록 동일한 방식으로 실시될 수 있지만, 그러나 본 발명의 또 다른 실시예에 따라 또한 상이한 대상물, 특히 상이한 중량을 가지는 대상물에 대해 상이하게 지지 장치를 실시하는 것이 유용하다. 지지 장치는 진공 공급원 또는 진공 시스템과 라인을 경유하여 연결되는 소위 패드 또는 지지 쿠션인 것이 바람직하다. 개별 지지 장치 또는 패드는 동일한 진공으로 공급될 수 있거나 각각의 상이한 진공으로 공급될 수도 있으며, 그러나 이러한 경우 예를 들면 밸브 또는 개별적인 진공 시스템과 같은 적절한 제어 요소가 요구된다.
이와 관련하여, 지지 장치는 상이한 중량을 가지는 대상물, 예를 들면 대상물의 형상 및 표면 구조물에 적용되는 것이 바람직하다. 예를 들면, 리셉터클을 지지하기 위해 일반적으로 더 큰 지지 표면이 가벼운 중량을 지지하기 위한 것 보다 요구된다. 예를 들면, 약 3 mm가 되는 지지 장치 또는 패드의 직경, 또는 약 0.1 ㎠가 되는 패드 당 진공이 작용하는 표면을 선택하는 것이 웨이퍼에 유용하다. 패드의 형상은 예비 설정된 규정과 동일하게 선택되고 패드는 원형 또는 직사각형이거나 어떠한 다른 형상을 가질 수 있다. 그러나, 패드는 원형인 것이 바람직하고, 이는 진공 공급원의 낮은 흡입력에서 조차 이렇게 함으로써 비율 표면/림이 가장 크거나 대상물 예를 들면 웨이퍼의 확실한 핸들링이 보장되기 때문이다.
예를 들면 0.1g 내지 0.5g의 중량을 가지는 웨이퍼가 확실하게 홀딩되도록, 웨이퍼를 지지부에 가압하는, 패드에 의해 발생된 접촉 압력은 접촉 압력으로부터 발생된 마찰력이 대상물, 예를 들면 웨이퍼에 작용하는 중력에 의한 가속도 또는 이송 아암의 가속에 의해 발생된 힘 보다 더 크도록 충분히 커야 한다. 웨이퍼에 작용하는 (수평) 가속력이 1g 보다 더 작은 경우, 이는 예를 들면 약 0.005 bar(이는 0.995 bar의 절대 압력에 대응한다)의 진공을 경유하여 달성된다. 이와 관련하여, 다시 웨이퍼 온도의 함수가 될 수 있는 웨이퍼와 지지부 사이의 마찰 계수를 고려하여야 한다.
진공이 더 큰 경우, 즉 절대 압력이 작은 경우, 비록 웨이퍼의 파손의 위험이 존재하지만, 웨이퍼는 항상 확실하게 홀딩, 즉 가속력이 1g를 초과할 수 있어야 한다.
일반적으로, 선택되어지는 패드 압력은 압력이 바람직하게는 제어가능하거나 조절가능한 경우 유용하게 되는 결과로서, 발생되는 최대 가속도가 적용되도록 선 택되어야 한다. 매우 큰 진공은 피하여야 한다. 압력의 적용은 이동 시컨스의 시작 전 뿐만 아니라 자체 이동 동안 실행되어야 한다. 웨이퍼의 최대 허용 가속도는 웨이퍼의 두께 및 직경, 지지 영역의 재료 및 웨이퍼 표면 타입, 즉 구조화된 지지 영역 또는 구조화되지 않은 지지 영역이 제공되는 지의 함수이다.
구조화되지 않은 지지 영역을 가지는 웨이퍼가 핸들링되는 경우, 웨이퍼의 중앙에 대한 웨이퍼 반경의 약 2/3에서 패드의 배치가 선택되는 것이 바람직하다. 이러한 방식으로, 웨이퍼는 가능한 응력이 없게 되는 방식으로 지지된다. 구조화된 지지 영역으로, 패드는 웨이퍼의 림 영역을 지지하는 것이 바람직하다.
본 발명의 핸들링 장치가 더 큰 중량을 가지는 대상물 및/또는 더 작은 중량을 가지는 대상물용 3 지점 지지 장치가 제공되는 것이 바람직하다.
이미 언급한 바와 같이, 이와 관련하여 상이한 대상물, 및 특히 상이한 중량을 가지는 대상물용 지지 장치는 상이한 형상을 가지는 것이 바람직하다.
중량에 대해 특히 상이한 대상물용 지지 장치가 이송 아암의 일 측부에 둘다 배치될 수 있다. 본 발명의 특히 유용한 일 실시예에 따라, 그러나, 지지 장치는 이송 아암의 양 측부에 제공된다. 이는 주어진 상태에 따라 이송 아암의 상측부 또는 하측부상의 핸들링 프로세스 동안 핸들링되어지는 대상물을 홀딩하는 것이 가능하다. 본 발명의 다른 일 실시예에 따라, 더 무거운 대상물용 이송 아암 지지 장치의 일 측부 및 더 가벼운 대상물용 다른 측부 지지 장치에 제공되는 경우 특히 유용하다. 일 측부, 예를 들면 상 측부는, 예를 들면 지지 리셉터클을 지지하기 위한 제 1 지지 또는 패드 구조물 또는 지지 표면 구조물을 가지는 반면, 이송 아암의 하부에 예를 들면 웨이퍼를 지지하기 위한 제 2 지지부 또는 패드 구조물이 제공된다. 예를 들면, 웨이퍼가 아래로부터 고정되며 리셉터클은 상부로부터 고정되거나, 또는 반대로도 고정된다. 이러한 본 발명의 핸들링 장치의 일 실시예로, 진공 제어를 제거하고 동일한 진공으로 양 지지 장치를 작동하는 것도 가능한데, 이는 홀딩력이 상이한 패드 구조물, 특히 상이한 표면 상태에 의해 결정되거나 동시 결정되기 때문이다. 게다가, 지지 표면의 마찰 계수는 상부 및 아래가 상이할 수 있다.
본 발명의 매우 유용한 실시예에 따라, 이송 아암은 종방향 축선에 대해 180°만큼 회전가능하다. 결론적으로, 대응하는 대상물에 적용되는 지지 장치를 구비한 측부는 상방 및 하방으로 회전될 수 있다.
본 발명의 또 다른 일 실시예에 따라, 두 개 이상의 이송 아암이 제공되며, 이중 적어도 하나의 아암이 무거운 대상물을 지지하기 위해 제공되며 적어도 하나의 다른 아암이 가벼운 중량 대상물을 지지하기 위해 제공된다. 이러한 방식으로, 지지 장치는 각각의 상이한 대상물에 대해 서로로부터 개별적으로 자체 이송 아암에 각각 제공된다.
본 발명의 다른 유용한 일 실시예에 따라, 진공 제어 장치는 예정된 프로그램 시컨스의 함수로서 제어될 수 있다. 이와 달리 또는 이러한 가능성에 부가하여, 센서, 예를 들면 와이어 변형 게이지가 핸들링되는 대상물의 중량을 측정하기 위해 제공된다. 이러한 중량 측정의 결과, 즉 센서의 출력은 진공 제어 장치를 제어하기 위해 이용된다. 이와 관련하여, 센서는 이송 아암에 직접 제공될 수 있지 만 또한 중량이 결정되는 대상물을 우선 약간 상승시키는 것이 가능하여, 대상물을 지지하기 위한 지지 압력이 대상물의 중량을 위한 측정으로서 결정된다. 개별 중량을 결정함으로써, 대상물은 이동 동안 확실하게 홀딩된다. 개별 지지 압력으로, 대상물이 그때 이동된다. 실제 지지 압력에 부가하여, 또한 최대 가속도, 대상물의 미리 고정된 궤도의 선택, 속도 또는 다른 이동 매개변수를 선택 또는 설정하는 것도 가능하다. 이러한 방식으로, 대상물, 예를 들면 웨이퍼 또는 박스의 림을 파지하는 소위 엣지 그러퍼를 제어하는 것이 가능하고, 핸들링 장치에 대해 제 위치에 대상물의 국부적 고정을 달성하기 위해 림에 대상물을 고정하는 것이 가능하다. 이 같은 확실한 홀딩, 예를 들면 기계적으로 실행될 수 있으며, 여기서, 용어 "홀딩 압력"은 또한 대상물에 대해 핸들링 장치의 기계적 부품의 기계적 접촉 압력을 의미하는 것으로 이해되어야 한다.
본 발명은 도면과 관련하여 본 발명의 바람직한 실시예의 도움으로 후속적으로 상세하게 설명된다.
도 1은 급속 가열 유닛의 개략적인 단면도이며,
도 2의 a)는 7개의 웨이퍼 까지 수용하는 캐리어의 평면도이며 도 2의 b)는 도 2의 a)에 표시된 섹션 라인을 따른 단면도이며,
도 3의 a) 내지 도 3의 f)는 캐리어에 있는 리세스의 커버의 다양한 실시예를 보여주며,
도 4는 웨이퍼 및 커버와 리세스의 다른 조합을 보여주는 두 개의 도면이며,
도 5는 리세스의 다양한 실시예를 보여주며,
도 6은 캐리어의 로딩 및 언로딩용 기구를 보여주며,
도 7은 본 발명의 핸들링 장치의 이송 아암을 개략적으로 도시한 평면도이며,
도 8은 도 7에 도시된 이송 아암의 측면도이며,
도 9는 진공 제어 장치의 일 실시예를 보여주는 개략도이며,
도 10의 a) 및 도 10의 b)는 종방향 축선에 대해 회전가능한 이송 아암을 개략적으로 도시한 평면도 및 저면도이다.
도 1은 대상물, 바람직하게는 디스크형 반도체 웨이퍼(2)의 급속 열 처리를 위한 통상적인 유닛(1)을 보여주는 개략도이다. 웨이퍼(2)는 홀딩 또는 지지 장치(3)에 배치되고 이 장치(3)는 예를 들면 핀형 지지 요소 또는 웨이퍼가 주변에 배치되거나, 어떠한 다른 타입의 웨이퍼 지지부인 장치일 수 있다. 지지 장치(3)를 포함하는 웨이퍼(2)는 프로세스 챔버(4)의 내부에 배치된다. 프로세스 챔버(4)는 투명한 석영으로 적어도 일 부분이 제조되는 것이 바람직한 투명한 챔버이다. 프로세스 가스용 유입구 및 유출구가 표시되지 않으며, 이 가스용 유입구 및 유출구에 의해 프로세스에 적절한 가스 분위기가 발생될 수 있다. 프로세스 챔버(4)의 위 및/또는 아래 및/또는 측부(여기서 표시되지 않음)에 장착된 것은 램프(5 및 6)의 뱅크이다. 이들은 서로에 대해 평행하게 배치되는 다수의 로드형 텅스텐-할로겐 램프인 것이 바람직하다. 그러나, 다른 램프도 이용될 수 있다. 챔버의 다른 실시예는 램프의 상부 뱅크(5) 또는 램프의 하부 뱅크(6) 및/또는 측방향으로 배치된 램프가 제거된다. 램프로부터 방출되는 전자기적 방사에 의해, 대상물(2), 예를 들면 웨이퍼가 가열된다. 전체 장치는 외부 노 챔버(7)에 의해 둘러싸일 수 있으며 외부 노 챔버의 벽의 내부에 적어도 부분적으로 반사면이 제공될 수 있으며, 외부 노 챔버의 벽은 스틸 또는 알루미늄과 같은 금속으로 제조될 수 있는 것이 바람직하다. 또한 마지막으로 측정 장치가 제공되고 이 측정 장치는 두 개의 비 접촉 측정 장치(8 및 9)를 포함하는 것이 바람직하다. 측정 장치(8 및 9)는 바람직하게는 두개의 고온계지만, CCD 모니터 또는 센서 또는 방사를 기록하기 위한 다른 장치가 사용될 수도 있다.
이 같은 유닛에서 조합 반도체의 열 처리 연결을 성공적으로 하기 위해, 반도체는 반도체 재료의 분해를 방지하기 위해 콘테이너내에 포함되어야 한다. 도 2의 a)는 바람직하게는 원형 디스크형 캐리어(10)의 평면도이다. 도 2의 b)는 도 2의 a)에서 점선을 따른 캐리어(10)의 단면도이다.
캐리어(10)는 웨이퍼를 각각 수용하기 위한 상부 디스크 표면(18)에서 동일한 직경의 다수의 원형 리세스(11 내지 17)를 갖는다. 그러나, 리세스를 위한 상이한 직경도 가능하다. 이와 관련하여, 하나의 리세스(12)는 캐리어(10) 중앙에 배치되는 반면, 나머지 6개의 리세스(11, 13, 14, 15, 16 및 17)는 캐리어의 엣지 및 중앙 리세스(12)에 동심이되는 원을 따라 중앙 리세스(12)를 둘러싼다. 캐리어(10)의 직경은 바람직하게는 200 mm이며, 동일한 크기의 리세스의 직경은 바람직하게는 53 mm이다.
캐리어(10)는 바람직하게는 흑연, 사파이어, 석영, 붕소 질화물, 알루미늄 질화물, 규소, 탄화규소, 질화규소, 세라믹 또는 금속으로 제조되는 것이 바람직하다. 캐리어의 상측부(18) 뿐만 아니라 하부(19)는 상측부(18) 및 하부(19) 상의 광학적 균질성을 보장하기 위해 유리 비드를 미세하게 불어넣는 것이 유용하다.
리세스(11 내지 17)에 배치되는 웨이퍼(3)용 폐쇄 컨테이너 또는 리셉터클을 얻기 위해, 리세스에 적어도 하나의 커버가 제공되며, 이 커버도 유리 비드로 미세하게 불어 넣을 수 있다. 도 3의 a)에서, 웨이퍼가 들어가는 리세스(11 내지 17) 모두 더 큰 커버(20)에 의해 덮혀진다. 도 3의 b)에 도시된 커버의 또 다른 바람직한 형태에서, 리세스(11 내지 17)에 커버(21 내지 27)가 개별적으로 제공된다. 도 3의 c)에서 리세스(14 및 13)는 커버(28)에 의해 덮혀지고, 리세스(11 및 17)는 커버(29)에 의해 덮혀지며, 리세스(15, 12 및 16)는 커버(30)에 의해 덮혀진다. 도 3의 b)는 커버의 다른 형태를 보여주며 여기서 커버들 중 하나는 임의의, 그러나 하나 이상이고 전부가 아닌 개수의 리세스를 동시에 덮을 수 있다. 여기서 리세스(15, 12, 16, 11 및 17)가 커버(31)에 의해 덮혀지며 리세스(14 및 13)가 커버(28)에 의해 덮혀진다. 도 3의 e)에서, 수 개의 리세스용 커버가 개별 커버와 결합되며, 리세스(15, 12 및 16)가 커버(30)에 의해 덮히는 반면, 리세스(14, 13, 11 및 17)가 대응하는 커버(24, 23, 21 및 27)에 의해 덮혀진다. 도 3의 f)는 마지막으로 개별 커버, 다수의 리세스용 커버, 및 덮히지 않는 리세스을 보여준다. 따라서, 도 3의 a)에서 처럼, 리세스(15, 12 및 16)는 하나의 커버(30)에 의해 덮히고, 리세스(14 및 13)가 대응하는 개별 커버(24 및 25)에 의해 덮히는 반면, 리세스(11 및 17)는 덮히지 않는다. 일반적으로, 임의의 개수의 리세스용 커버가 개별적인 커버 뿐만 아니라 덮히지 않는 리세스와의 어떠한 원하는 방식으로 조합될 수 있다.
커버는 캐리어(10)의 상부면(18)으로 제한되지 않으며 커버(10)를 넘어 측방향으로 돌출될 수 있다.
커버(10)와 같이, 도 3에 도시된 하나 이상의 커버는 흑연, 사파이어, 석영, 붕소 질화물, 알루미늄 질화물, 규소, 탄화규소, 질화규소, 세라믹 또는 금속으로 제조될 수 있다. 그러나, 캐리어(10) 뿐만 아니라 하나 이상의 커버도 전술한 재료로 제조될 수 있다.
RTP 프로세스에 대해, 캐리어 및/또는 적어도 하나의 커버의 낮은 비 열용량을 가지는 적어도 하나의 커버를 가지는 캐러어(10)가 유용하게 선택된다. 열 용량은 0.8J/gK와 0.2J/gK 사이가 바람직하다. 이러한 이유때문에, 캐리어(10)는 5mm를 초과하지 않게 가능하게는 두께가 작아야 한다. 캐리어의 최고 두께는 3mm가 바람직하다.
유사하게, 적어도 하나의 커버를 가지는 캐리어(10)는 캐리어(10) 및/또는 캐리어들 중 적어도 하나가 유용하며, 캐리어(10) 및/또는 캐리어들 중 적어도 하나는 높은 열용량을 갖는다. 열전도도는 10 W/mK와 180 W/mK 사이가 가능하다.
도 4의 a)에 도시된 커버(33)와 같이, 커버는 캐리어(10)에 배치되고 웨이퍼(2)가 배치되는 리세스(32)를 덮을 수 있다. 커버(33)는 노브 형성부(34) 또는 캐리어(10)의 상부면(18)의 대응하는 함몰부(35)에 정확히 조립되고 미끄러지 는 것을 방지하기 위해 커버(33)를 제위치에 조립하는 유사한 대응 장치가 제공되는 것이 바람직하다. 그러나, 이 같은 장치가 없을 수도 있다.
도 4의 b)에 도시된 바와 같이, 커버(33)가 수용되고 링의 방식으로 둘러싸는 톱니부(36)가 리세스(32)에 제공되는 일 실시예가 바람직하다. 톱니부(36)의 깊이는 상부면(18)과 동일한 높이로 제공되고 캐리어(10)의 평면형 상부면을 보정하도록 커버(33)의 두께가 동일한 것이 유용하다. 캐리어(10)의 적어도 부분들, 또는 커버(20 내지 31)들 중 하나의 부분들, 또는 캐리어(10)의 부분들 및 커버(20 내지 31)들 중 적어도 하나의 부분들이 유용하게 코팅된다. 따라서, 예를 들면, 리세스(11 내지 16) 모두 또는 하나의 내부 표면, 뿐만 아니라 리세스를 덮는 하나 이상의 커버(20 내지 31)의 표면에 덮혀있는 리세스(11 내지 16)내에 웨이퍼(3)를 프로세싱하는 동안 발생되는 화학적 프로세스로 불활성이 되는 특별한 층을 부분적으로 제공하는 것이 유용할 수 있는 반면, 캐리어(10)의 외부 표면이 열 방사에 대한 원하는 흡수 특성을 보여주도록 코팅되지 않도록 한다. 다른 경우, 예를 들면 캐리어(10) 및 커버(20 내지 31)의 국부 광학 특성이 외부 표면 영역의 적절한 코팅에 의해 달성될 수 있다.
유사하게, 캐리어(10)의 적어도 부분들, 또는 커버(20 내지 31)들 중 하나의 부분들, 또는 캐리어(10)의 부분들 및 커버(20 내지 31)들 중 하나의 부분이 이들을 예를 들면 석영 또는 사파이어로 제조함으로써 열 방사를 위해 투명하게 된다. 리세스의 베이스 표면에 대응하는 커버(20 내지 31) 뿐만 아니라 캐리어(10)의 부분들이 열 방사를 위해 투명하지 않은 것이 유용하며 캐리어(10)의 다른 부분들은 투명한 것이 유용하다.
캐리어(10)의 바람직한 일 실시예에서, 리세스(20 내지 31) 모두는 동일한 깊이를 갖는다. 이러한 방식으로 로딩된 웨이퍼(2)는 평행한 방위를 가지며 동일한 높이로 그리고 일 평면에 모두 위치한다.
그러나, 때때로 또한 리세스(20 내지 31)의 깊이가 상이한 것이 유용할 수 있다. 이러한 경우, 비록 웨이퍼(2)가 항상 여전히 평행하지만, 웨이퍼는 높이가 서로로부터 오프셋되며 다양한 평면에 배치된다.
웨이퍼(2)의 지지부는 웨이퍼와 리세스의 베이스 사이의 접촉을 피하기 위해 리세스(11 내지 17)들 중 적어도 하나내에 유용하게 선택된다. 도 5의 a)에서 볼 수 있는 바와 같이, 이는 웨이퍼(2)가 수용되고 리세스(32)내에 배치되는 핀형 지지 요소(37)에 의해 유용하게 달성된다. 동일한 깊이를 가지는 리세스와 지지 요소(37)의 상이한 길이로, 웨이퍼(2)는 그때 각각의 리세스에 상이한 평면에 배치될 수 있다.
도 5의 b)는 리세스(32)의 베이스와의 접촉을 피할 수 있는 방식으로 웨이퍼(2)를 배치하기 위한 또 다른 바람직한 가능성을 보여준다. 여기서 웨이퍼(2)는 리세스(32)가 내측으로 원뿔형으로 경사지는 림 영역에 지지된다. 이러한 방식으로 웨이퍼의 림 지지를 가능하게 하는 리세스(32)의 내측으로 경사진 영역이 달성된다. 도 5의 c)에 도시된 또 다른 실시예로, 리세스(32)가 오목하게 형성되고 이는 다시 리세스(32)의 엣지에 웨이퍼(2)의 림의 지지를 초래한다. 원뿔형 및 오목 리세스(32)의 설계에 따라, 웨이퍼를 상이한 높이로 배치할 수 있다.
캐리어(10)를 로딩하기 위해, 그리퍼는 예를 들면 흡입 장치를 경유하여, 예를 들면 베루누이 원칙에 따라 작동시키기 위해 이용된다. 이 그리퍼는 웨이퍼(2)를 연속적으로 수용하고 리세스(11 내지 17)로 웨이퍼를 배치한다.
또 다른 실시예에 따라, 도 6의 a)에 도시된 바와 같이 웨이퍼(2)는 지지 핀(38)에 배치된다. 지지 핀(38)은 각각의 리세스(32)의 베이스에 제공되는 보어(39)를 통하여 가이드된다.
유사하게, 커버(33)는 지지 핀(40)에 배치될 수 있다. 지지 핀(40)은 도 6의 a)에 도시된 바와 같이, 리세스(32)를 넘어 캐리어(10)를 통해 연장하는 보어(41)를 통하여 가이드되거나, 지지 핀(42)이 캐리어(10)의 외부로 완전히 연장된다. 지지 핀(38)은 그리퍼와 직면하는 리세스를 로딩하기 위해 제공되는 지지 핀에 의해 그리퍼로부터 원격에 있는 리세스의 로딩을 방해하지 않도록 상이한 리세스에 대해 상이한 높이를 갖는 것이 유용하다. 동일한 이유때문에, 커버(33)를 위한 지지 핀(40)은 상이한 길이를 가질 수 있다. 지지 핀(40)은 지지 핀(38) 보다 모두 높은 것이 바람직하다.
또 다른 실시예에 따라, 캐리어(10)는 로딩을 위한 수직 축선에 대해 회전한다. 이러한 방식으로, 어떠한 주어진 시간에 로딩되는 리세스(32)가 항상 그리퍼와 직면할 수 있다.
웨이퍼(2)가 지지 핀(38)에 배치될 때, 커버(33)는 지지 핀(40)에 배치되고, 이러한 핀은 캐리어(10)를 통하여 하방으로 이동하고 그 결과로서 웨이퍼(10)가 지지 핀(38)으로부터 상승되고 커버(33)는 지지 핀(40)으로부터 상승된다. 웨이퍼(2)는 관련된 리세스로 배치된다. 이와 달리, 캐리어(10)는 또한 상방으로 이동한다.
웨이퍼(10)의 로딩은 프로세스 챔버(4)내에서 뿐만 아니라 프로세스 챔버(4)의 외부로 실행될 수 있다.
도 7 및 도 8에 도시된 본 발명은 핸들링 장치의 이송 아암(41)이 예를 들면 열 처리 프로세스 동안 웨이퍼 및 리셉터클의 핸들링과 관련하여 사용되며 통상적으로 폭(b)이 대상물의 직경 보다 작은 약 35 mm이고 여기서 대상물은 예를 들면 점선으로 도시된 웨이퍼(42) 또는 리셉터클이다. 이러한 방식으로, 인접한 웨이퍼로부터 이격되도록 카세트에 적층되어 수용되는 웨이퍼는 카세트로부터 제거될 수 있으며 프로세싱 후 다시 카세트에 배치된다. 이송 아암(41)의 두께(d)(도 8 참조)는 1 내지 5 mm의 범위에 있으며, 통상적으로 2 mm이다. 두께는 이송 아암(41)이 카세트에 배치되는 두 개의 인접한 웨이퍼들 사이에 조립될 수 있으며 따라서 카세트로부터 웨이퍼(42)를 제거할 수 있다. 이송 아암(41)의 길이는 조건에 부합하게 선택되고 단면 및 두께 프로파일이 동일하다. 상술된 실시예의 이송 아암(41)의 통상적인 길이는 20과 70 cm 사이 이다.
도 7 및 도 8에 도시된 실시예에 따라, 웨이퍼는 또한 패드로서 알려지고 도시된 실시예에서 또한 (도시되지 않은) 리셉터클의 지지부가 제공되는 3개의 지지 장치(43-1, 43-2, 43-3)에 의해 지지된다. 이와 달리, 한 쪽에는 웨이퍼 다른 쪽에는 리셉터클을 위한 상이한 지지 장치 또는 패드를 제공하는 것도 가능하다.
연결 라인(46)을 경유하여 진공 또는 부압 공급원(45)과 패드(43-1, 43-2, 43-3)가 연결되는 진공 또는 부압 라인(44)이 이송 아암(41)에 제공된다. 진공 제어 요소(47) 예를 들면 제어가능한 밸브가 패드(43-2)들 중 하나로 하나의 진공 라인(44)이 제공된다.
이송 아암(41)은 핸들링 장치의 도시되지 않은 부품 및 이동 요소를 구비한 고정 요소(48)를 경유하여 연결된다. 진공 라인 또는 채널(49)이 고정 요소(48)에 유사하게 연장되고, 이들의 단부는 연결 라인(46)으로 연결되는 이송 아암 반대쪽에 있다.
이미 상세하게 설명된 바와 같이, 패드(43-1, 43-2 및 43-3)는 핸들링되는 웨이퍼 뿐만 아니라 리셉터클을 확실히 지지하기 위한 상태에 부합하도록 적용되는 형상, 매스 및 설계를 가질 수 있다.
본 발명의 다른 실시예에 따라, 진공 제어 요소(47)가 필요한 경우 나머지 패드에 인가되는 진공과 상이한 패드들 중 하나에 진공이 인가되도록 적용된다.
게다가, 개별 진공 제어 요소가 각각의 패드에 각각 제공될 수 있다. 진공 제어 장치(51)가 연결 라인(46)에 제공되는데, 예를 들면 이송 아암(41)과 부압 또는 진공 공급원(45) 사이에 제공된다. 이를 위한 일 실시예가 도 9에 개략적으로 도시되어 있다. 연결 라인(46)에서, 이송 아암(41)의 진공 라인(44)과 진공 공급원(45) 사이에, 두 개의 평행한 진공 라인(52 및 53)이 진공 제어 장치(51)에 제공되고 제 1 및 제 2 변환 스위치(54, 55)를 경유하여 진공 라인(46)으로 선택적으로 스위칭될 수 있다. 제 1 진공 라인(52)은 이송 아암(41)의 진공 라인(44)으로 변화하지 않고 진공 공급원(45)으로부터 이용가능하게 된 진공을 이송하는 작용을 한 다. 대조적으로, 제 2 연결 라인(53)에서 진공을 변환시키는 진공 조절기(56)가 진공 제어 장치(51)의 제 2 진공 라인(53)에 제공된다.
도시된 실시예에서 변환 스위치(54 및 55)의 스위칭은 지지 소프트웨어에 의해 제어되는 컴퓨터를 경유하여 실행되며 이 컴퓨터는 도면부호가 "57"이고 적절한 프로그램 지시를 진공 제어 장치(51)의 인터페이스(58)로 이용가능하며 적절한 프로그램 지시는 전선(59 및 60)을 경유하여 변환 스위치(54 및 55)로 제어 신호의 형태로 통과된다.
프로그램에 의한 변환 스위치(54 및 55)를 제어하는 대신, 중량 센서의 출력 신호의 스위칭을 제어하는 것이 가능하고 중량 센서는 핸들링되는 대상물의 중량을 감지한다.
상대적으로 높은 중량을 가져 핸들링되는 대상물(42)로, 상대적으로 높은 진공, 즉 상대적으로 작은 절대 압력이 지지 장치(43-1, 43-2, 43-3)로 적용되고, 진공 조절기를 갖지 않는 제 1 진공 라인(52)은 도 9에 도시된 변환 스위치(54 및 55)의 스위치 위치를 경유하여 진공 공급원(45)으로 연결된다. 웨이퍼의 온도 처리의 경우, 이러한 대상물-전술된 바와 같이-은 하나 이상의 웨이퍼가 포함되고 예를 들면 흑연, 탄화 규소, 알루미늄 질화물로 제조되는 리셉터클이다.
이 같은 흑연의 리셉터클은, 다른 실시예에 따라, 또한 탄화 규소 또는 알루미늄 질화물 재료로 코팅될 수 있다.
상대적으로 높은 압력에 의해, 리셉터클은 핸들 및 수송 프로세스 동안 패드(43-1, 43-2, 43-3)를 경유하여 지지 장치에 확실하게 가압되어 홀딩된다.
그러나, 동일한 핸들링 장치로, 적은 중량을 가지는 대상물, 예를 들면 0.1 내지 20g의 중량을 가지는 반도체 웨이퍼가 이송 또는 핸들링되고 변환 스위치(54 및 55)는 패드(43-1, 43-2 및 43-3)가 제 2 연결 라인(53)을 경유하여 압력 공급원(45)과 소통되는 위치로 변환된다. 이러한 제 2 연결 라인(53)에서, 진공은 진공 조절기(56)에 의해 감소, 즉 절대 압력이 증가되어 적용 압력이 리셉터클 보다 웨이퍼에서 더 적다. 이러한 진공은 따라서 웨이퍼에 적용되고 매우 낮아서 패드에서의 매우 큰 진공에 의한 파손의 위험이 방지된다.
도 10의 a) 및 도 10의 b)에서, 일 실시예는 예를 들면 다수의 패드(61-1, 61-2, 61-3, 62), 이들의 구조, 이들의 형상 및/또는 이들의 크기에 대해 서로 상이할 수 있는 양 측부에 각각의 지지 장치를 가지는 이송 아암(41)이 도시되어 있다. 도 10의 a)에 패드 구조물이 도시된 반면, 도 7의 실시예에 반드시 대응하며 적은 중량을 가지는 대상물, 예를 들면 웨이퍼를 지지하기 위해 제공되고 이송 아암(41)의 다른 측부는 패드 구조물을 가지며 예를 들면 오직 하나의 상태적으로 큰 표면으로 원형 패드를 가지며 이 패드는 오직 하나의 진공 라인에 연결되고 예를 들면 웨이퍼 리셉터클 또는 흑연 박스인 무거운 중량을 가지는 대상물이 제공된다.
회전 화살표(63)에 의해 표시된 바와 같이, 이러한 실시예로 이송 아암(41)이 180°만큼 축선(64)에 대해 회전할 수 있어, 더 큰 중량을 가진 대상물 또는 더 작은 중량을 가진 대상물이 지지되고 핸들링되는지에 따라, 이송 아암(41)의 두 개의 측부 중 하나가 선택적으로 이용될 수 있다.
핸들링 장치가 반도체 산업에 이용되는 경우, 이들의 재료, 및 특히 이송 아 암(41)의 재료는 이러한 적용을 위해 적절하여야 하며 흑연, 세라믹 및/또는 수정, 이 재료의 조합을 포함하는 것이 바람직하다. 이러한 재료는 더욱이 프로세스 챔버의 로딩 및 언로딩은 700 ℃까지의 온도로 실행될 수 있다. 더 높은 탄성도의 모듈에 의해, 흑연 및 세라믹은 더 높은 강도를 가지는 장점이 있으며 즉 , 비록 200 g의 중량을 가지는 리셉터클이 배치되는 경우, 이송 아암(41)이 오직 약간만 구브려진다. 이송 아암(41)의 표면은 가능한 부드러워야 한다. 이송 아암(41)의 단일 설계가 가능하며 이는 세척을 촉진시키고 프로세스 챔버로의 입자의 수송 가능성을 감소시킨다.
비록 본 발명은 바람직한 실시예에 의해 설명되었지만 이 실시예에 제한되는 것은 아니다. 예를 들면, 캐리어(10)는 각도진 형상을 가질 수 있다. 유사하게, 리세스는 각도진 형상을 가질 수 있다. 게다가, 다수의 리세스가 일곱개로 제한되지 않는다. 또한 원형 리세스를 가지는 캐리어로 리세스의 직경은 100 mm 또는 150 mm의 웨이퍼도 수용할 수 있도록 52 mm와 상이할 수 있다. 캐리어는, 예를 들면 상이한 크기를 가지는 리세스를 가질 수 있다. 더욱이, 상술된 실시예의 개별 특징은 어떠한 양립되는 방식으로 서로 조합되거나 교환될 수 있다.
본 발명의 핸들링 장치는 또한 설명된 실시예의 특징 및 설계로 제한되지 않는다. 예를 들면, 흡입이 베루누이 효과로 실행되는, 즉 진공이 홀딩 장치 또는 패드로 인가되어 버늘리 효과가 발생되는 방식으로, 대상물, 예를 들면 웨이퍼 또는 리셉터클을 지지 장치에 지지하는 것도 가능하다. 이러한 경우, 수평 방향으로의 가속력은 추가 보조 수단을 경유하여 제공되어야 하며, 이 추가 보조 수단은 예 를 들면 대상물이 이송 아암(41)에 대해 제위치에 고정될 수 있도록 경유하는 엣지 경계부일 수 있다.

Claims (51)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 진공 제어 장치를 구비하며, 진공에 의해, 핸들링되는 하나 이상의 대상물을 지지하기 위한 하나 이상의 지지 장치가 제공되는 하나 이상의 이송 아암을 가지는 핸들링 장치에 있어서,
    핸들링되는 상기 대상물의 개별 중량을 결정하기 위한 수단을 가지며, 상기 진공 제어 장치가 상기 대상물의 개별 중량의 함수로서 진공의 변경을 위해 제공되는 것을 특징으로 하는,
    핸들링 장치.
  38. 제 37 항에 있어서,
    상기 진공 제어 장치는 진공 공급원 및 진공 변환 장치를 포함하는 것을 특징으로 하는,
    핸들링 장치.
  39. 제 38 항에 있어서,
    상기 진공 변환 장치에는 진공 조절기를 갖는 라인 및 진공 조절기를 갖지 않는 라인 사이의 변환을 위해 스위치가 제공되는 것을 특징으로 하는,
    핸들링 장치.
  40. 제 37 항 또는 제 38 항에 있어서,
    상기 진공 제어 장치는 두 개 이상의 개별 진공 시스템을 가지는 것을 특징으로 하는,
    핸들링 장치.
  41. 제 37 항 또는 제 38 항에 있어서,
    핸들링되고 상이한 중량을 가지는 상기 대상물에 대한 진공 비율이 10 내지 10,000의 범위에 있는 것을 특징으로 하는,
    핸들링 장치.
  42. 제 41 항에 있어서,
    적은 중량을 가지는 대상물은 반도체 웨이퍼이며, 큰 중량을 가지는 대상물은 반도체 웨이퍼 리셉터클인 것을 특징으로 하는,
    핸들링 장치.
  43. 제 41 항에 있어서,
    상기 지지 장치는 상이한 대상물에 대해 상이하게 실시되는 것을 특징으로 하는,
    핸들링 장치.
  44. 제 37 항 또는 제 38 항에 있어서,
    3개의 지점 지지 장치가 제공되는 것을 특징으로 하는,
    핸들링 장치.
  45. 제 37 항 또는 제 38 항에 있어서,
    상기 지지 장치가 상기 이송 아암의 양 측부에 제공되는 것을 특징으로 하는,
    핸들링 장치.
  46. 제 42 항에 있어서,
    상기 이송 아암의 일 측부는 큰 중량을 가진 대상물용 지지 장치를 가지며 상기 이송 아암의 다른 측부는 적은 중량을 가진 대상물용 지지 장치를 가지는 것을 특징으로 하는,
    핸들링 장치.
  47. 제 37 항 또는 제 38 항에 있어서,
    상기 이송 아암은 종방향 축선에 대해 180° 회전가능한 것을 특징으로 하는,
    핸들링 장치.
  48. 제 37 항 또는 제 38 항에 있어서,
    두 개 이상의 이송 아암이 제공되고, 적어도 하나의 이송 아암이 큰 중량을 가지는 대상물의 지지를 위해 제공되고 적어도 다른 하나의 이송 아암이 적은 중량을 가지는 대상물의 지지를 위해 제공되는 것을 특징으로 하는,
    핸들링 장치.
  49. 제 37 항 또는 제 38 항에 있어서,
    상기 진공 제어 장치가 예정된 프로그램 시컨스의 함수로서 제어가능한 것을 특징으로 하는,
    핸들링 장치.
  50. 제 37 항 또는 제 38 항에 있어서,
    핸들링되는 상기 대상물의 중량을 측정하는 센서를 더 포함하고, 상기 센서의 출력 신호로 상기 진공 제어 장치가 제어될 수 있는 것을 특징으로 하는,
    핸들링 장치.
  51. 삭제
KR1020037014971A 2001-05-18 2002-05-02 판형 대상물을 수용하기 위한 장치 및 상기 대상물을핸들링하기 위한 장치 KR100885343B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
DE10124647.1 2001-05-18
DE10124647 2001-05-18
DE10156441.4 2001-11-16
DE10156441A DE10156441A1 (de) 2001-05-18 2001-11-16 Vorrichtung zur Aufnahme von scheibenförmigen Objekten und Vorrichtung zur Handhabung von Objekten
PCT/EP2002/004790 WO2002095795A2 (de) 2001-05-18 2002-05-02 Vorrichtung zur aufnahme von scheibenförmigen objekten

Publications (2)

Publication Number Publication Date
KR20030093358A KR20030093358A (ko) 2003-12-06
KR100885343B1 true KR100885343B1 (ko) 2009-02-26

Family

ID=7685532

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037014971A KR100885343B1 (ko) 2001-05-18 2002-05-02 판형 대상물을 수용하기 위한 장치 및 상기 대상물을핸들링하기 위한 장치

Country Status (3)

Country Link
US (1) US20040126213A1 (ko)
KR (1) KR100885343B1 (ko)
DE (1) DE10156441A1 (ko)

Families Citing this family (243)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10329107B4 (de) * 2002-12-23 2015-05-28 Mattson Thermal Products Gmbh Verfahren zum Bestimmung wenigstens einer Zustandsvariablen aus einem Modell eines RTP-Systems
WO2004059271A1 (de) 2002-12-23 2004-07-15 Mattson Thermal Products Gmbh Verfahren zum bestimmen der temperatur eines halbleiterwafers in einer schnelheizanlage
US20040225399A1 (en) * 2003-04-28 2004-11-11 Kuo-Lang Chen Wafer assessment apparatus for a single wafer machine and method thereof
US7338836B2 (en) * 2003-11-05 2008-03-04 California Institute Of Technology Method for integrating pre-fabricated chip structures into functional electronic systems
JP2005158860A (ja) * 2003-11-21 2005-06-16 Seiko Epson Corp 電気光学装置の製造方法及び透明基板用アニール装置
EP1719166A1 (en) * 2004-02-19 2006-11-08 Koninklijke Philips Electronics N.V. Method of making a small substrate compatible for processing
US7672255B2 (en) 2004-04-05 2010-03-02 Oomble, Inc. Mobile instant messaging conferencing method and system
US7773550B2 (en) * 2004-04-05 2010-08-10 Daniel J. LIN Peer-to-peer mobile data transfer method and device
US7764637B2 (en) * 2004-04-05 2010-07-27 Daniel J. LIN Peer-to-peer mobile instant messaging method and device
DE102007023970A1 (de) * 2007-05-23 2008-12-04 Aixtron Ag Vorrichtung zum Beschichten einer Vielzahl in dichtester Packung auf einem Suszeptor angeordneter Substrate
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2013050805A1 (de) * 2011-10-06 2013-04-11 Roth & Rau Ag Substratwendeeinrichtung
US9099514B2 (en) * 2012-03-21 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer holder with tapered region
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9219174B2 (en) 2013-01-11 2015-12-22 Solarcity Corporation Module fabrication of solar cells with low resistivity electrodes
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10309012B2 (en) * 2014-07-03 2019-06-04 Tesla, Inc. Wafer carrier for reducing contamination from carbon particles and outgassing
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9761744B2 (en) 2015-10-22 2017-09-12 Tesla, Inc. System and method for manufacturing photovoltaic structures with a metal seed layer
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10672919B2 (en) 2017-09-19 2020-06-02 Tesla, Inc. Moisture-resistant solar cells for solar roof tiles
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11190128B2 (en) 2018-02-27 2021-11-30 Tesla, Inc. Parallel-connected solar roof tile modules
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0488722A1 (en) * 1990-11-29 1992-06-03 Canon Kabushiki Kaisha Vacuum attraction type substrate holding device

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3539759A (en) * 1968-11-08 1970-11-10 Ibm Susceptor structure in silicon epitaxy
JPS4930319B1 (ko) * 1969-08-29 1974-08-12
FR2628984B1 (fr) * 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a planetaire
US4978567A (en) * 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
US4986215A (en) * 1988-09-01 1991-01-22 Kyushu Electronic Metal Co., Ltd. Susceptor for vapor-phase growth system
US5620525A (en) * 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5201653A (en) * 1990-10-02 1993-04-13 Dainippon Screen Mfg. Co., Ltd. Substrate heat-treating apparatus
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5850071A (en) * 1996-02-16 1998-12-15 Kokusai Electric Co., Ltd. Substrate heating equipment for use in a semiconductor fabricating apparatus
US5837555A (en) * 1996-04-12 1998-11-17 Ast Electronik Apparatus and method for rapid thermal processing
US5863170A (en) * 1996-04-16 1999-01-26 Gasonics International Modular process system
US6752584B2 (en) * 1996-07-15 2004-06-22 Semitool, Inc. Transfer devices for handling microelectronic workpieces within an environment of a processing machine and methods of manufacturing and using such devices in the processing of microelectronic workpieces
US5788777A (en) * 1997-03-06 1998-08-04 Burk, Jr.; Albert A. Susceptor for an epitaxial growth factor
US6123502A (en) * 1997-07-08 2000-09-26 Brooks Automation, Inc. Substrate holder having vacuum holding and gravity holding
US6652662B1 (en) * 1998-04-03 2003-11-25 Tokyo Electron Limited Substrate surface processing apparatus and method
JP3764278B2 (ja) * 1998-07-13 2006-04-05 株式会社東芝 基板加熱装置、基板加熱方法及び基板処理方法
US6113165A (en) * 1998-10-02 2000-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Self-sensing wafer holder and method of using
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
JP2002134484A (ja) * 2000-10-19 2002-05-10 Asm Japan Kk 半導体基板保持装置
US6634882B2 (en) * 2000-12-22 2003-10-21 Asm America, Inc. Susceptor pocket profile to improve process performance

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0488722A1 (en) * 1990-11-29 1992-06-03 Canon Kabushiki Kaisha Vacuum attraction type substrate holding device

Also Published As

Publication number Publication date
KR20030093358A (ko) 2003-12-06
DE10156441A1 (de) 2002-11-21
US20040126213A1 (en) 2004-07-01

Similar Documents

Publication Publication Date Title
KR100885343B1 (ko) 판형 대상물을 수용하기 위한 장치 및 상기 대상물을핸들링하기 위한 장치
US20060245906A1 (en) Device for accommodating disk-shaped objects and apparatus for handling objects
CN1294617C (zh) 包括热分布板和边缘支撑的组合装置
US7077912B2 (en) Semiconductor manufacturing system
US6474987B1 (en) Wafer holder
KR0153250B1 (ko) 종형 열처리 장치
KR20170058280A (ko) 웨이퍼 보트 지지대 및 이것을 사용한 열처리 장치
JP5548163B2 (ja) 基板搬送機構、基板処理装置および半導体装置の製造方法
JP4637475B2 (ja) 取外し可能なサセプタを用いた半導体基板搬送システム、及び半導体基板の搬送方法
CN105493231A (zh) 圆形灯阵列
US6051512A (en) Apparatus and method for rapid thermal processing (RTP) of a plurality of semiconductor wafers
US6464445B2 (en) System and method for improved throughput of semiconductor wafer processing
JP2020526040A (ja) 基板を搬送するための装置、このような装置の基板キャリアに適合された収容板を有する処理装置、及び当該基板を搬送するための装置を使用して基板を処理するための方法、並びに処理システム
US20100326797A1 (en) Carrier for transporting solar cell substrates
KR101341270B1 (ko) 디스크형 공작물을 위한 이송 장치
KR101877403B1 (ko) 기판 처리 장치 및 방법
JPS6317521A (ja) ウエ−ハボ−トの搬送方法
JPH0661331A (ja) 基板搬送装置
US20040115585A1 (en) Heat treating device
US20220106705A1 (en) Wafer transport device, vapor deposition device, wafer transport method, and method for manufacturing epitaxial silicon wafer
CN114686858B (zh) 一种薄膜生长系统以及基片托盘和载环组件
JP3575567B2 (ja) 半導体ウェーハの気相成長成膜方法と縦型熱処理装置
TWI661506B (zh) 用於在晶圓載具上將晶圓定向之裝置以及使用此裝置以將晶圓放置到晶圓載具的方法
CN114686857A (zh) 一种基片托盘及其所在的反应器
JP2006111913A (ja) 基板保持具、基板搬送方法及び成膜装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120208

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee