KR100629029B1 - 루테늄 금속막의 제조 방법 - Google Patents

루테늄 금속막의 제조 방법 Download PDF

Info

Publication number
KR100629029B1
KR100629029B1 KR1020017002541A KR20017002541A KR100629029B1 KR 100629029 B1 KR100629029 B1 KR 100629029B1 KR 1020017002541 A KR1020017002541 A KR 1020017002541A KR 20017002541 A KR20017002541 A KR 20017002541A KR 100629029 B1 KR100629029 B1 KR 100629029B1
Authority
KR
South Korea
Prior art keywords
diene
substrate
precursor composition
substrate assembly
semiconductor substrate
Prior art date
Application number
KR1020017002541A
Other languages
English (en)
Other versions
KR20010079702A (ko
Inventor
브라이언 에이. 바아트스트라
유진 피. 마르쉬
Original Assignee
마이크론 테크놀로지 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이크론 테크놀로지 인코포레이티드 filed Critical 마이크론 테크놀로지 인코포레이티드
Publication of KR20010079702A publication Critical patent/KR20010079702A/ko
Application granted granted Critical
Publication of KR100629029B1 publication Critical patent/KR100629029B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Inorganic Compounds Of Heavy Metals (AREA)
  • Semiconductor Memories (AREA)

Abstract

본 발명은 화학식 (디엔)Ru(CO)3의 액체 루테늄 복합체로부터 루테늄 금속막을 제조하는 방법을 제공한다. 여기서 "디엔"은 선형, 분지형, 또는 사이클릭 디엔, 비사이클릭 디엔, 트리사이클릭 디엔, 이들의 플루오르화 유도체, 할라이드, Si, S, Se, P, As, N, 또는 O 또는 이들의 조합물과 같은 헤테로원자를 추가로 함유하는 이들의 유도체를 칭한다. 바람직한 예는 사이클로헥사디엔 및 사이클로헵타디엔 루테늄 트리카르보닐이다.

Description

루테늄 금속막의 제조 방법 {METHODS FOR PREPARING RUTHENIUM METAL FILMS}
본 발명은 화학적 기상증착 및 액체 루테늄 전구체(precusor)를 사용하여 루테늄 금속막을 제조하는 방법에 관한 것이다.
금속막 및 금속 산화물막, 특히 루테늄막 및 그의 산화물막은 다양한 전자 및 전기화학적 응용에 있어 중요해지고 있다. 예를 들어, 실리콘 웨이퍼상에 증착된 고품질 RuO2 박막은 최근 강유전체 메모리에서의 사용에 대해 관심을 끌고 있다. 루테늄막은 일반적으로 실리콘 및 금속 산화물과 반응하지 않고, 산소 및 실리콘의 확산에 대해 저항력이 있으며, 양호한 도체이다. 루테늄의 산화물도 이러한 성질을 가지고 있으나, 그 정도는 아마 다를 것이다.
따라서, 루테늄 및 그 산화물의 막은 집적 회로에서 다양한 용도에 적합한 성질을 가진다. 예컨대, 이들은 전기 접점용 집적 회로에 사용될 수 있다. 이들은 특히 강유전체 메모리와 같은 메모리 장치에서 유전체 물질과 실리콘 기판 사이의 배리어층으로서 사용하기에 적당하다. 또한, 이들은 심지어 커패시터에서 플레이트(즉, 전극) 자체로 적당할 수 있다.
이러한 피막을 제조하기 위한 전구체로서 사용될 수 있는 매우 다양한 루테늄 화합물이 존재한다. 다수의 화합물이 화학적 기상증착 기법에 사용하기에 특히 적합하다. 예컨대, 문헌[참조: 미국 특허 제 5,372,849호(McCormick et al.)]에는 카르보닐 리간드와 기타 리간드를 함유한 루테늄 화합물의 용도가 개시되어 있다. 그러나, 일반적으로 이러한 화합물들은 덜 휘발성이고 화학적 기상증착 기법에 사용하기에 용이하지 않은 이량체를 형성한다. 따라서, 화학적 기상증착 기법을 사용하여 루테늄 금속막을 제조하는 방법에 대한 필요성은 계속 존재한다.
발명의 개요
본 발명은 루테늄 금속막을 제조하는 방법을 제공한다. 한 구체예에서, 방법은 화학식 (화학식 I)의 하나 이상의 화합물을 포함하는 액체 전구체 조성물을 제공하는 단계:
화학식 I (디엔)Ru(CO)3
상기 식에서,
"디엔"은 선형, 분지형, 또는 사이클릭 디엔, 비사이클릭 디엔, 트리사이클릭 디엔, 이들의 플루오르화 유도체, 할라이드, Si, S, Se, P, As, N, 또는 O 또는 이들의 조합물들과 같은 헤테로원자들을 추가로 함유하는 이들의 유도체를 칭한다;
상기 액체 전구체 조성물을 기화시켜서 기화된 전구체 조성물을 형성하는 단계; 및
상기 기화된 전구체 조성물을 반도체 기판 또는 기판 어셈블리로 유도시켜서 반도체 기판 또는 기판 어셈블리의 표면상에 루테늄 금속막을 형성하는 단계를 포함한다. 이러한 방법은 특히 1개 이상의 작은 고애스펙트비의 구멍을 함유한 복합 구조와 같이, 전형적으로 우수한 스텝 커버리지(step coverage)를 필요로 하는 복합 구조상에서 유용하다.
본 발명의 방법에 사용하기에 적당한 화학식 I의 복합체는 중성 복합체이고 약 20℃ 내지 약 50℃의 온도에서 액체이다. 이들은 플래쉬 기화(flash vaporization), 버블링(bubbling), 미소적 형성(mirodroplet formation) 기법 등에 사용될 수 있다. 본원에서 사용되는 "액체"는 순수 액체(실온에서 액체 또는 약 50℃까지의 승온에서 용해되는 실온에서 고체)를 칭한다.
본 발명의 방법은 실리콘 웨이퍼와 같이, 높은 표면적 토폴로지를 가진, 예컨대 그 안에 고애스펙트비(high aspect ratio) 구멍이 형성되어 있고, 집적 회로를 형성하는데 사용되는 반도체 기판 또는 기판 어셈블리의 표면상에 피막을 형성하는데 특히 적당하다. 본 발명의 방법은 실리콘 웨이퍼상의 증착에 한정되지 않는 것으로 이해하여야 하며; 오히려, 기타 유형의 웨이퍼(예컨대, 비소화 갈륨 웨이퍼 등)도 사용될 수 있다. 또한, 본 발명의 방법은 실리콘-온-절연체(silicon-on-inulator) 기술에서 사용될 수 있다. 또한, 반도체 기판 또는 기판 어셈블리 이외의 기판이 본 발명의 방법에 사용될 수 있다. 이들은, 예컨대 섬유, 와이어 등을 포함한다. 기판이 반도체 기판 또는 기판 어셈블리인 경우, 피막은 기판의 최저의 반도체 표면상에 직접 형성될 수 있거나, 예컨대, 패턴화된 웨이퍼에서와 같이 여러 층(즉, 표면)중 어느 하나 위에 형성될 수 있다. 따라서, 용어 "반도체 기판"은 기부 반도체층, 예컨대 웨이퍼의 실리콘 물질로 된 최저층 또는 사파이어상의 실리콘과 같이 다른 물질상에 증착된 실리콘층을 칭한다. 용어 "반도체 기판 어셈블리"는 하나 이상의 층 또는 그 위에 형성된 구조물을 가지는 반도체 기판을 칭한다.
본 발명의 한 구체예에서, 반도체 구조물, 바람직하게는 그 안에 하나 이상의 작은 고애스펙트비의 구멍을 가진 표면을 가진 구조물을 제조하는 방법이 제공된다. 상기 방법은 하기 단계를 포함한다: 바람직하게는 약 10-3토르 내지 약 1기압의 압력(보다 바람직하게는, 약 0.1토르 내지 약 10토르의 압력)을 가진 반응 챔버내에 함유된 약 150℃ 내지 약 350℃의 온도(보다 바람직하게는, 약 200℃ 내지 250℃의 온도)의 반도체 기판 또는 기판 어셈블리를 제공하는 단계; 바람직하게는 약 20℃ 내지 50℃의 온도(보다 바람직하게는, 약 40℃ 내지 약 50℃의 온도)의 화학식 I의 하나 이상의 화합물을 포함하는 전구체 조성물을 제공하는 단계; 액체 전구체 조성물을 기화시켜 기화된 전구체 조성물을 형성하는 단계; 및 기화된 전구체 조성물을 반도체 기판 또는 기판 어셈블리로 유도시켜서 하나 이상의 작은 고애스펙트비의 구멍을 가진 반도체 기판 또는 기판 어셈블리의 표면상에 루테늄 금속막을 형성하는 단계를 포함한다.
도면의 간단한 설명
도 1은 본 발명의 방법에 사용하기에 적합한 화학적 기상증착 코팅 시스템의 개략도이다.
바람직한 구체예의 상세한 설명
본 발명은 화학적 기상증착 기법 및 1개 이상의 액체 루테늄 금속 복합체를 사용하여 루테늄 금속막을 형성하는 방법을 제공한다. 상세하게는, 본 발명은 그 위에 루테늄 금속막을 가진 반도체 장치를 제조하는 방법에 관한 것이다.
액체 루테늄 복합체는 하기 화학식(화학식 I)을 가진다:
화학식 I (디엔)Ru(CO)3
상기 식에서,
"디엔"은 선형, 분지형, 또는 사이클릭 디엔, 비사이클릭 디엔, 트리사이클릭 디엔, 이들의 플루오르화 유도체, 할라이드, Si, S, Se, P, As, N, 또는 O 또는 이들의 조합물들과 같은 헤테로원자들을 추가로 함유하는 이들의 유도체들을 지칭한다. 바람직하게는, 디엔 리간드는 약 5 내지 약 8개 탄소 원자, 바람직하게는 약 6 내지 약 7개 탄소 원자를 포함한다. 이러한 전구체 복합체는 미국 특허 제 6,063,705호(Vaartstra)에 기재되어 있다. 이들은 상기 특허에 기재된 방법 또는 미국 특허 제 5,962,716호(Uhlenbrock et al.)에 기재된 방법에 따라 제조될 수 있다.
본 발명에서 사용하기에 적합한 화학식 I의 복합체는 중성 복합체이고 실온에서 액체이거나 약 50℃ 이하의 높은 온도에서 용해되는 실온에서 고체이다. 이들 복합체는 플래쉬 기화 기법, 버블러 기법, 및/또는 미소적 기법과 같은 화학적 기상증착 (CVD) 기법에 사용하기에 적당하다. 본원에서 기술된 복합체의 바람직한 구체예는 특히 저온 CVD, 예컨대 약 100℃ 내지 약 400℃의 기판 온도를 수반하는 증착 기법에 적당하다.
바람직한 종류의 복합체는 50℃에서 0.1토르 보다 큰 증기압을 가진 것들이다. 이러한 화합물의 예들로는 (사이클로헥사디엔)Ru(CO3) 및 (사이클로헵타디엔) Ru(CO3)을 포함한다.
필요에 따라, 전구체 조성물은 1개 이상의 반응 기체 및/또는 1개 이상의 불활성 캐리어 기체의 존재하에서 기화되어 루테늄 금속막을 형성시킬 수 있다. 그러나, 전구체 조성물이 증착 챔버에 연결된 전구체 함유 용기의 가열에 의해 기화되고 물질 이동에 의해 기판으로 이동될 수 있는 경우에는 반응 기체도 캐리어 기체도 필요하지 않다. 불활성 캐리어 기체는 전형적으로 질소, 헬륨, 아르곤, 및 이들의 혼합물로 구성된 군으로부터 선택된다. 본 발명의 명세서에서, 불활성 캐리어 기체는 일반적으로 본원에서 기술된 복합체와 반응하지 않고 루테늄 금속막의 형성을 방해하지 않는 것이다. 반응 기체는 화학적 기상증착의 조건하에서 적어도 한 표면에서 본원에서 기술된 복합체와 반응성인 매우 다양한 환원 기체로부터 선택될 수 있다. 환원 기체의 예들로는 H2 및 NH3가 포함된다. 임의적인 반응 기체 및 임의적인 캐리어 기체의 다수의 조합물이 피막을 형성하기 위한 본 발명의 방법에서 사용될 수 있다.
본 발명의 방법은 고순도의 루테늄 금속막(바람직하게는, X-선 광전자 분광법(XPS), Auger 분광법, 또는 기타 방법에 기초하여 약 95원자% 이상의 순도)을 반도체 웨이퍼(예컨대, 실리콘 웨이퍼, 비소화 갈륨 웨이퍼 등), 유리 기판 등과 같이 다양한 기판, 및 기판의 다양한 표면상에서, 기판 그 자체 위에 직접 또는 반도체 기판 어셈블리에서와 같이 기판상에 증착된 물질층 위에 형성하는데 특히 적합하다. 본 발명의 방법은 실리콘 웨이퍼와 같이, 고도의 표면적 토폴로지를 가진, 예컨대 그 위에 고애스펙트비의 구멍(즉, 갭)을 가진 표면(예컨대, 절연층의)이 형성된 반도체 기판 또는 기판 어셈블리의 표면상에서 고순도의 루테늄 금속막을 증착시키는데 특히 유용하다. 작은 고애스펙트비의 구멍은 전형적으로 약 1미크론 미만(예컨대, 구멍의 직경 또는 폭이 약 1미크론 미만), 보다 전형적으로 약 0.3미크론 내지 약 1미크론의 외형 크기 또는 임계 크기 및 약 1을 초과하는 애스펙트비를 가진다. 이러한 애스펙트비는 접점 홀(hole), 비아(vias), 트렌치(trench), 및 다양한 기타 형태에 적용가능하다. 예컨대, 1미크론의 구멍 및 3미크론의 폭을 가진 트렌치는 3의 애스펙트비를 가진다. 본 발명은 스텝 구조물 상부에 컨포멀한(conformal) 루테늄 금속 확산 배리어층을 형성하기 위한 CVD 공정들을 사용함으로써 작은 고애스펙트비의 피쳐들(features)로 확산 배리어층을 형성하는데 특히 유리하다. 전형적으로, 본 발명의 방법을 사용하여 약 80%를 초과하는 스텝 커버리지가 달성될 수 있다. 이는 바닥 표면상에 증착된 층의 두께 대 상부 표면상의 증착층 두께의 비를 칭하는 것이다.
루테늄 금속막은 화학식 I의 하나 이상의 복합체의 분해(전형적으로, 열분해)시 증착된다. 본 발명의 방법은 플래쉬 기화, 버블링 등과 같은, 임의적으로 광-보조 또는 플라즈마-보조된(그러나, 광-보조 및 플라즈마-보조 증착은 전형적으로 양호한 스텝 커버리지를 제공하지 않는다) 다양한 증착 기법을 사용할 수 있다. 적당한 CVD 공정의 예들로는 예컨대 미국 특허 제 6,063,705호(Vaartstra) 뿐만 아니라 미국 특허 제 5,372,849호(McCormick et al.)에 일반적으로 논의되어 있다.
본 발명의 공정을 수행하기 위해 사용될 수 있는 전형적인 화학적 기상증착 (CVD) 시스템이 도 1에 도시되어 있다. 시스템은 에워싸인 화학적 기상증착 챔버(60)을 포함하며, 이는 냉벽형 CVD 반응기일 수 있다. 터보 펌프(62) 및 백킹 펌프 (64)를 사용하여 챔버(60)에 감압을 생성시킬 수 있다. 바람직하게는, 증착중의 챔버 압력은 약 10-3토르 내지 약 대기압이며, 가장 바람직하게는 약 0.1토르 내지 약 10토르이다. 압력은 양호한 스텝 커버리지 및 증착율을 이루도록 선택된다.
1개 이상의 기판(66)(예컨대, 반도체 기판 또는 기판 어셈블리)이 챔버(60)에 위치된다. 일정한 공칭 온도는 기판에 대하여 설정되며, 바람직하게는 약 150℃ 내지 약 350℃로 설정된다. 최적의 스텝 커버리지, 증착율, 및 피막의 형성을 위하여, 가장 바람직한 기판 온도는 약 200℃ 내지 약 250℃이다. 기판(66)은, 예컨대 저항 가열기(68)에 의해 기판이 설치된 부분에 가열될 수 있다. 기판을 가열하는 기타 공지된 방법이 사용될 수도 있다. 그러나, 플라즈마-보조 및 광-보조 CVD 공정에 있어서는, 기판의 온도가 현저히 더 낮을 수 있다.
이러한 공정에서, 화학식 I의 하나 이상의 화합물을 함유하는 전구체 조성물(40)은 액체 형태(실온에서 순수 액체, 또는 실온에서는 고체이지만 승온에서는 액체)로 용기(42)내에 저장된다. 액체 전구체 조성물의 온도는 바람직하게는 약 20℃ 내지 약 50℃이고, 보다 바람직하게는 약 40℃ 내지 약 50℃이다. 용기(42)내의 압력은 전형적으로 챔버(60)내의 압력과 유사하다. 적당한 불활성 기체의 공급원(44)은 용기(42)내로 펌핑되고 순수 액체(즉, 용매 없는)를 통해 버블링되며, 전구체 조성물을 골라내어 이를 라인(45) 및 기체 분배기(46)을 통해 챔버(60)로 운반시킨다. 목적하는 농도의 전구체 조성물을 제공하고 기판(66)의 표면에 대해 증착의 균일성을 조절하기 위하여 필요에 따라 추가의 불활성 기체 또는 반응 기체가 공급원(48)로부터 공급될 수 있다. 도시된 바와 같이, 밸브(50 내지 55)는 필요에 따라 개폐된다. 반응 및 임의적인 캐리어 기체는 필요에 따라 예열될 수 있다.
일반적으로, 전구체 조성물은 약 10sccm(표준 입방 센티미터) 내지 약 500sccm의 캐리어 기체의 유량, 및 바람직하게는 약 100sccm 내지 약 400sccm의 유량으로 CVD 챔버(60)로 운반된다. 필요에 따라, 반응 기체(바람직하게는, 환원 기체)는 전형적으로 약 10sccm 내지 약 1000sccm의 유량, 및 바람직하게는 약 50sccm 내지 약 500sccm의 유량으로 CVD 챔버(60)로 유입된다. 반도체 기판은 목적하는 두께에 따라 약 0.1토르 내지 약 10토르의 압력에서 약 10초 내지 약 30분의 시간 동안 전구체 조성물에 노출된다. 챔버(60)에서, 전구체 조성물은 기판(66)의 표면상에 흡착층을 형성한 것이다. 증착율이 온도 의존적이기 때문에, 기판 온도의 증가는 전형적으로 증착율을 증가시킬 수 있다. 그러나, 스텝 커버리지가 필요한 경우, 보다 고온은 불리하게 될 수 있다. 따라서, 기판 온도는 이러한 두가지 성질을 조화시키도록 선택된다. 전형적으로, 바람직한 증착율은 약 100옹스트롬/분 내지 약 1000옹스트롬/분이다. 전구체 조성물을 함유한 캐리어 기체는 밸브(53)을 폐쇄함으로써 차단된다.
이러한 방법의 대안적인 방법은 전구체 조성물을 가열하고 증기를 뽑아내어 증기 질량 흐름 제어기에 의해 제어하는 방법, 및 문헌[참조: "Metalorganic Chemical Vapor Deposition By Pulsed Liquid Injection Using An Ultrasonic Nozzle: Titanium Dioxide on Sapphire from Titanium(Ⅳ) Isopropoxide", Versteeg et al., Journal of the American Ceramic Society, 78, 2763-2768(1995)]에 기재된 펄스화된 액체 주입법을 포함한다. 또한, 화학식 I의 복합체는 "Method and Apparatus for Vaporizing Liquid Precursor compositions and System for Using Same"이라는 제목으로 1996년 10월 2일 출원되어 계류중인 미국 출원 제 08/720,710호에 기재된 증착 시스템과 함께 사용하는데 특히 적합하다. 일반적으로, 상기 출원에 기재된 방법은 액체 형태의 전구체 조성물을 기화하는 것을 포함한다. 제 1 단계에서는, 전구체 조성물은 분사(atomize)되거나 네뷸라이징 (nebulize)되어 높은 표면적의 미소적 또는 미스트(mist)를 형성한다. 제 2 단계에서는, 미소적 또는 미스트의 성분이 가열된 캐리어 기체의 즉시적 혼합물에 의해 기화된다. 이러한 2단계 기화법은 전구체 조성물의 재현성있는 전달(순수 액체 또는 액체 매질에 용해된 고체의 형태로)을 제공하고, 특히 작은 크기를 가진 장치 적용에서 적당한 증착율을 제공한다.
캐리어 기체 및/또는 반응 기체의 다양한 조합물이 본 발명의 특정 방법에 사용될 수 있다. 이들은 다양한 방식으로, 예컨대 기화 챔버에 직접 또는 전구체 조성물과 함께 화학적 기상증착 챔버로 도입될 수 있다.
특정 증착 공정이 도 1을 참조하여 기술되어 있으나, 본 발명의 방법은 도시된 특정 증착 시스템과 사용되는 것에 한정되지 않는다. 열벽 또는 냉벽 반응기, 대기압 또는 감압 반응기 뿐만 아니라 플라즈마 증강된 반응기를 포함하여, 다양한 CVD 공정 챔버 또는 반응 챔버가 사용될 수 있다. 또한, 본 발명의 방법은 임의의 특정 증착 기법에 한정되지 않는다.
증착 후에, 필요에 따라 피막을 치밀하게 하기 위하여 피막이 추가로 열처리(annealing)될 수 있다. 이것은 CVD 반응 챔버에서 수행될 수도 아닐 수도 있다. 피막을 결정화 및/또는 치밀화하기 위하여, 바람직하게는 어닐링 공정이 캐리어 기체에 대하여 상기 설명된 바와 같이 불활성 기체에서 수행된다. 바람직하게는, 이러한 열처리 공정의 압력은 약 0.5토르 내지 약 5기압이다. 바람직하게는, 이러한 열처리 공정의 기판 온도는 약 300℃ 내지 약 1000℃이고, 보다 바람직하게는 약 500℃ 내지 약 800℃이다.
복합체의 용도 및 본 발명의 피막을 형성하는 방법은 반도체 구조물, 특히 확산 배리어(예컨대, 기타 금속에 대한 배리어 또는 Si, TiN, Ti, Al, 및 Cu의 산화에 대한 배리어)를 필요로 하는 구조물에서 매우 다양한 박막 응용에 유리하다. 예컨대, 이러한 응용은 커패시터 및 금속화층, 예컨대 집적 회로 구조에서 다단계 회로연결을 포함한다. 이러한 구조물은, 예컨대 PCT 국제 공개 제 WO 00/13215호에 기재되어 있다.
하기 실시예는 다양한 특정한 바람직한 구체예 및 기법을 추가로 예시하기 위하여 제공된다. 그러나, 많은 변형 및 변화가 본 발명의 범주내에서 이루어질 수 있는 것으로 이해되어야 한다.
실시예
(C 6 H 8 ) Ru (CO 3 ) 사용한 루테늄 피막 증착
연황색의 전구체 (C6H8)Ru(CO3)를 딥 튜브(dip tube) 및 출구 밸브가 장착된 버블러에 첨가하였다. 버블러를 CVD 반응기에 연결시켰다. 버블러를 질량 흐름 제어기를 통하여 버블러의 딥 튜브 포트에 도입되는 헬륨 캐리어 기체에 추가로 연결하였다. 버블러를 약 40℃로 가열하였고, 챔버와의 모든 하류 연결부를 약 50℃ 내지 약 60℃로 가열하였다. 그 위에 BPSG의 층을 가진 실리콘 웨이퍼(다양한 크기의 접점 호울이 에칭되어 있음)를 CVD 반응기 내부의 가열된 척(chuck) 위에 위치시켰다. 루테늄 증착은 웨이퍼를 200℃까지 가열(웨이퍼 표면 위에 위치한 온도계로 측정)하여 수행하였고 25sccm의 헬륨 캐리어 흐름 및 50sccm의 질소의 추가 흐름(전구체 전달 라인으로 별개로 부설)을 사용하여 3토르의 챔버 압력을 설정하였다. 헬륨 캐리어 흐름을 전구체 버블러를 통해 2.5분간 전환시켜 피막을 형성시켰고, 피막은 추후 SEM 현미경사진에 의해 800옹스트롬 두께인 것으로 측정되었다. 이는 320옹스트롬/분의 증착율에 해당한다. 피막은 높은 반사성을 지니며 고순도의 루테늄으로 구성되었다(블랭킷 피막상에서 XPS로 측정). X-선 회절에 의해 피막이 다결정성 루테늄인 것으로 증명되었고 SEM 현미경사진은 직경이 공칭 0.3미크론 내지 1.0미크론이고 깊이가 2.5미크론인 구멍에서 80%를 초과하는 스텝 커버리지를 나타냈다.
상기 상세한 설명 및 실시예는 단지 이해의 명확성을 위해서 제공되었다. 이로부터 불필요한 제한이 인지되어서는 안된다. 본 발명은 도시 및 기술된 그대로의 설명에 한정되지 않으며 당업자에게 명확한 변형물은 청구범위에 의해 정의된 발명내에 포함될 것이다.

Claims (17)

  1. 반도체 기판 또는 기판 어셈블리를 제공하는 단계;
    하기 화학식 I의 하나 이상의 화합물을 포함하는 액체 전구체 조성물을 제공하는 단계
    - 화학식 I (디엔)Ru(CO)3
    여기서, 디엔은 선형, 분지형, 또는 사이클릭 디엔, 비사이클릭 디엔, 트리사이클릭 디엔, 할라이드, Si, S, Se, P, As, N, 또는 O 헤테로원자들, 또는 상기 헤테로원자들의 조합물들을 포함하는 이들의 유도체들을 지칭함 -;
    상기 액체 전구체 조성물을 기화시켜 기화된 전구체 조성물을 형성하는 단계; 및
    상기 기화된 전구체 조성물을 상기 반도체 기판 또는 기판 어셈블리로 유도시켜서 상기 반도체 기판 또는 기판 어셈블리의 표면상에 루테늄 금속막을 형성하는 단계
    를 포함하는 반도체 구조물을 제조하는 방법.
  2. 제 1 항에 있어서, 상기 기판 또는 기판 어셈블리의 온도는 약 150℃ 내지 약 350℃인 것을 특징으로 하는 반도체 구조물을 제조하는 방법.
  3. 제 1 항에 있어서, 상기 기판 또는 기판 어셈블리의 온도는 약 200℃ 내지 약 250℃인 것을 특징으로 하는 반도체 구조물을 제조하는 방법.
  4. 제 3 항에 있어서, 상기 기판 또는 기판 어셈블리는 약 10-3토르 내지 약 1기압의 압력을 가진 반응 챔버내에 포함되는 것을 특징으로 하는 반도체 구조물을 제조하는 방법.
  5. 제 4 항에 있어서, 상기 기판 또는 기판 어셈블리는 약 0.1토르 내지 약 10토르의 압력을 가진 반응 챔버내에 포함되는 것을 특징으로 하는 반도체 구조물을 제조하는 방법.
  6. 제 1 항에 있어서, 상기 기판 또는 기판 어셈블리는 약 0.1토르 내지 약 10토르의 압력을 가진 반응 챔버내에 포함되는 것을 특징으로 하는 반도체 구조물을 제조하는 방법.
  7. 제 1 항에 있어서, 상기 액체 전구체를 기화시키는 단계는 플래쉬 기화, 버블링, 미소적 형성(mirodroplet formation), 및 이들의 조합물들로 구성된 군으로부터 선택된 화학적 기상증착 기술을 이용하는 단계를 포함하는 것을 특징으로 하는 반도체 구조물을 제조하는 방법.
  8. 제 1 항에 있어서, 상기 반도체 기판 또는 기판 어셈블리는 실리콘 웨이퍼를 포함하는 것을 특징으로 하는 반도체 구조물을 제조하는 방법.
  9. 제 1 항에 있어서, 상기 전구체 조성물은 1개 이상의 캐리어 기체의 존재하에서 기화되는 것을 특징으로 하는 반도체 구조물을 제조하는 방법.
  10. 제 1 항에 있어서, 상기 전구체 조성물은 1개 이상의 환원 기체의 존재하에서 기화되는 것을 특징으로 하는 반도체 구조물을 제조하는 방법.
  11. 제 10 항에 있어서, 상기 전구체 조성물은 H2, NH3, 및 이들의 조합물들로 구성된 군으로부터 선택된 환원 기체의 존재하에서 기화되는 것을 특징으로 하는 반도체 구조물을 제조하는 방법.
  12. 약 10-3토르 내지 약 1기압의 압력을 가진 반응 챔버내에 포함되는, 약 150℃ 내지 약 350℃의 온도의 반도체 기판 또는 기판 어셈블리를 제공하는 단계;
    하기 화학식 I의 하나 이상의 화합물을 포함하는 액체 전구체 조성물을 약 20℃ 내지 약 50℃의 온도에서 제공하는 단계
    - 화학식 I (디엔)Ru(CO)3
    여기서, 디엔은 선형, 분지형, 또는 사이클릭 디엔, 비사이클릭 디엔, 트리사이클릭 디엔, 할라이드, Si, S, Se, P, As, N, 또는 O 헤테로원자들, 또는 상기 헤테로원자들의 조합물들을 포함하는 이들의 유도체들을 지칭함 -;
    상기 액체 전구체 조성물을 기화시켜서 기화된 전구체 조성물을 형성하는 단계; 및
    상기 기화된 전구체 조성물을 상기 반도체 기판 또는 기판 어셈블리로 유도시켜서 상기 반도체 기판 또는 기판 어셈블리의 표면상에 루테늄 금속막을 형성하는 단계
    를 포함하는 반도체 구조물을 제조하는 방법.
  13. 반도체 기판 또는 기판 어셈블리를 제공하는 단계;
    하기 화학식 I의 하나 이상의 화합물을 포함하는 액체 전구체 조성물을 제공하는 단계
    - 화학식 I (디엔)Ru(CO)3
    여기서, 디엔은 선형, 분지형, 또는 사이클릭 디엔, 비사이클릭 디엔, 트리사이클릭 디엔, 할라이드, Si, S, Se, P, As, N, 또는 O 헤테로원자들, 또는 상기 헤테로원자들의 조합물들을 포함하는 이들의 유도체들을 지칭함 -;
    상기 액체 전구체 조성물을 기화시켜서 기화된 전구체 조성물을 형성하는 단계;
    상기 기화된 전구체 조성물을 상기 반도체 기판 또는 기판 어셈블리로 유도시켜서 상기 반도체 기판 또는 기판 어셈블리의 표면상에 루테늄 금속막을 형성하는 단계; 및
    상기 루테늄 금속막을 어닐링하는 단계
    를 포함하는 반도체 구조물을 제조하는 방법.
  14. 제 13 항에 있어서, 상기 어닐링 단계는 약 300℃ 내지 약 1000℃에서 수행되는 것을 특징으로 하는 반도체 구조물을 제조하는 방법.
  15. 하나 이상의 작은 고애스펙트 비의 구멍을 가진 표면을 포함하는 반도체 기판 또는 기판 어셈블리를 제공하는 단계;
    하기 화학식 I의 하나 이상의 화합물을 포함하는 액체 전구체 조성물을 제공하는 단계
    - 화학식 I (디엔)Ru(CO)3
    여기서, 디엔은 선형, 분지형, 또는 사이클릭 디엔, 비사이클릭 디엔, 트리사이클릭 디엔, 할라이드, Si, S, Se, P, As, N, 또는 O 헤테로원자들, 또는 상기 헤테로원자들의 조합물들을 포함하는 이들의 유도체들을 지칭함 -;
    상기 액체 전구체 조성물을 기화시켜서 기화된 전구체 조성물을 형성하는 단계; 및
    상기 기화된 전구체 조성물을 상기 반도체 기판 또는 기판 어셈블리로 유도시켜서 상기 하나 이상의 작은 고애스펙트비의 구멍을 가진 반도체 기판 또는 기판 어셈블리의 표면상에 루테늄 금속막을 형성하는 단계
    를 포함하는 반도체 구조물을 제조하는 방법.
  16. 기판을 제공하는 단계;
    하기 화학식 I의 하나 이상의 화합물을 포함하는 액체 전구체 조성물을 제공하는 단계
    - 화학식 I (디엔)Ru(CO)3
    여기서, 디엔은 선형, 분지형, 또는 사이클릭 디엔, 비사이클릭 디엔, 트리사이클릭 디엔, 할라이드, Si, S, Se, P, As, N, 또는 O 헤테로원자들, 또는 상기 헤테로원자들의 조합물들을 포함하는 이들의 유도체들을 지칭함 -;
    상기 액체 전구체 조성물을 기화시켜서 기화된 전구체 조성물을 형성하는 단계; 및
    상기 기화된 전구체 조성물을 상기 기판으로 유도시켜서 상기 기판의 표면상에 루테늄 금속막을 형성하는 단계
    를 포함하는 기판상에 루테늄막을 형성하는 방법.
  17. 하나 이상의 작은 고애스펙트비의 구멍을 가진 표면을 포함하는 반도체 기판 또는 기판 어셈블리를 약 150℃ 내지 약 350℃의 온도에서 제공하는 단계 - 상기 반도체 기판 또는 기판 어셈블리는 약 10-3토르 내지 약 1기압의 압력을 가진 반응 챔버내에 포함됨 -;
    하기 화학식 I의 하나 이상의 화합물을 포함하는 액체 전구체 조성물을 약 20℃ 내지 약 50℃ 온도에서 제공하는 단계
    - 화학식 I (디엔)Ru(CO)3
    여기서, 디엔은 선형, 분지형, 또는 사이클릭 디엔, 비사이클릭 디엔, 트리사이클릭 디엔, 할라이드, Si, S, Se, P, As, N, 또는 O 헤테로원자들, 또는 상기 헤테로원자들의 조합물들을 포함하는 이들의 유도체들을 지칭함 -;
    상기 액체 전구체 조성물을 기화시켜서 기화된 전구체 조성물을 형성하는 단계; 및
    상기 기화된 전구체 조성물을 반도체 기판 또는 기판 어셈블리로 유도시켜서 상기 하나 이상의 작은 고애스펙트비의 구멍을 가진 반도체 기판 또는 기판 어셈블리의 표면상에 루테늄 금속막을 형성하는 단계
    를 포함하는 반도체 구조물을 제조하는 방법.
KR1020017002541A 1998-08-27 1999-08-11 루테늄 금속막의 제조 방법 KR100629029B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/140,878 1998-08-27
US09/140,878 US6074945A (en) 1998-08-27 1998-08-27 Methods for preparing ruthenium metal films

Publications (2)

Publication Number Publication Date
KR20010079702A KR20010079702A (ko) 2001-08-22
KR100629029B1 true KR100629029B1 (ko) 2006-09-26

Family

ID=22493203

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020017002541A KR100629029B1 (ko) 1998-08-27 1999-08-11 루테늄 금속막의 제조 방법

Country Status (10)

Country Link
US (1) US6074945A (ko)
EP (1) EP1115900B1 (ko)
JP (1) JP2002523907A (ko)
KR (1) KR100629029B1 (ko)
AT (1) ATE257522T1 (ko)
AU (1) AU5556399A (ko)
DE (1) DE69914092T2 (ko)
MY (1) MY121432A (ko)
TW (1) TW504521B (ko)
WO (1) WO2000012777A1 (ko)

Families Citing this family (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6271131B1 (en) 1998-08-26 2001-08-07 Micron Technology, Inc. Methods for forming rhodium-containing layers such as platinum-rhodium barrier layers
US6133159A (en) * 1998-08-27 2000-10-17 Micron Technology, Inc. Methods for preparing ruthenium oxide films
US5962716A (en) * 1998-08-27 1999-10-05 Micron Technology, Inc. Methods for preparing ruthenium and osmium compounds
US6517616B2 (en) 1998-08-27 2003-02-11 Micron Technology, Inc. Solvated ruthenium precursors for direct liquid injection of ruthenium and ruthenium oxide
US6197628B1 (en) 1998-08-27 2001-03-06 Micron Technology, Inc. Ruthenium silicide diffusion barrier layers and methods of forming same
US6541067B1 (en) 1998-08-27 2003-04-01 Micron Technology, Inc. Solvated ruthenium precursors for direct liquid injection of ruthenium and ruthenium oxide and method of using same
US6284655B1 (en) 1998-09-03 2001-09-04 Micron Technology, Inc. Method for producing low carbon/oxygen conductive layers
US6239028B1 (en) 1998-09-03 2001-05-29 Micron Technology, Inc. Methods for forming iridium-containing films on substrates
US6323081B1 (en) 1998-09-03 2001-11-27 Micron Technology, Inc. Diffusion barrier layers and methods of forming same
US6329286B1 (en) 1999-04-27 2001-12-11 Micron Technology, Inc. Methods for forming conformal iridium layers on substrates
US6380080B2 (en) * 2000-03-08 2002-04-30 Micron Technology, Inc. Methods for preparing ruthenium metal films
US6417062B1 (en) * 2000-05-01 2002-07-09 General Electric Company Method of forming ruthenium oxide films
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6429127B1 (en) 2000-06-08 2002-08-06 Micron Technology, Inc. Methods for forming rough ruthenium-containing layers and structures/methods using same
US6660631B1 (en) 2000-08-31 2003-12-09 Micron Technology, Inc. Devices containing platinum-iridium films and methods of preparing such films and devices
JP3998906B2 (ja) * 2000-09-28 2007-10-31 株式会社日立国際電気 半導体装置の製造方法
CN1123557C (zh) * 2000-12-15 2003-10-08 清华大学 从烯烃、一氧化碳和氢气合成醛的方法
JP2002212112A (ja) * 2001-01-22 2002-07-31 Tanaka Kikinzoku Kogyo Kk 化学気相蒸着用のルテニウム化合物並びにルテニウム薄膜及びルテニウム化合物薄膜の化学気相蒸着方法。
US6399492B1 (en) * 2001-03-15 2002-06-04 Micron Technology, Inc. Ruthenium silicide processing methods
JP4065670B2 (ja) * 2001-08-09 2008-03-26 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US20030036242A1 (en) * 2001-08-16 2003-02-20 Haining Yang Methods of forming metal-comprising materials and capacitor electrodes; and capacitor constructions
US6824816B2 (en) * 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
KR100476556B1 (ko) * 2002-04-11 2005-03-18 삼성전기주식회사 압전트랜스 장치, 압전트랜스 하우징 및 그 제조방법
US7404985B2 (en) 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US7264846B2 (en) * 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US6861355B2 (en) 2002-08-29 2005-03-01 Micron Technology, Inc. Metal plating using seed film
KR20040024658A (ko) * 2002-09-16 2004-03-22 주식회사 메카로닉스 원자층 증착법에 의한 루세늄 박막 제조 방법 및 그박막을 이용한 커패시터
US6919468B2 (en) * 2002-10-31 2005-07-19 Praxair Technology, Inc. Asymmetric group 8 (VIII) metallocene compounds
US7927658B2 (en) * 2002-10-31 2011-04-19 Praxair Technology, Inc. Deposition processes using group 8 (VIII) metallocene precursors
US6884691B2 (en) 2003-03-18 2005-04-26 Micron Technology, Inc. Method of forming a substrate having a surface comprising at least one of Pt, Pd, Co and Au in at least one of elemental and alloy forms
US6900127B2 (en) * 2003-08-27 2005-05-31 Texas Instruments Incorporated Multilayer integrated circuit copper plateable barriers
US7107998B2 (en) 2003-10-16 2006-09-19 Novellus Systems, Inc. Method for preventing and cleaning ruthenium-containing deposits in a CVD apparatus
US7323228B1 (en) * 2003-10-29 2008-01-29 Lsi Logic Corporation Method of vaporizing and ionizing metals for use in semiconductor processing
US7041596B1 (en) * 2004-04-08 2006-05-09 Novellus Systems, Inc. Surface treatment using iodine plasma to improve metal deposition
US20060068098A1 (en) * 2004-09-27 2006-03-30 Tokyo Electron Limited Deposition of ruthenium metal layers in a thermal chemical vapor deposition process
US7270848B2 (en) * 2004-11-23 2007-09-18 Tokyo Electron Limited Method for increasing deposition rates of metal layers from metal-carbonyl precursors
US7442267B1 (en) 2004-11-29 2008-10-28 Novellus Systems, Inc. Anneal of ruthenium seed layer to improve copper plating
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7265048B2 (en) * 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7713876B2 (en) * 2005-09-28 2010-05-11 Tokyo Electron Limited Method for integrating a ruthenium layer with bulk copper in copper metallization
TWI331770B (en) 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
US20110198756A1 (en) * 2005-11-28 2011-08-18 Thenappan Ue Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use
US20070231489A1 (en) * 2006-03-29 2007-10-04 Tokyo Electron Limited Method for introducing a precursor gas to a vapor deposition system
US7892358B2 (en) * 2006-03-29 2011-02-22 Tokyo Electron Limited System for introducing a precursor gas to a vapor deposition system
US8404306B2 (en) * 2006-09-22 2013-03-26 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés George Claude Method for the deposition of a ruthenium containing film
US20080124484A1 (en) * 2006-11-08 2008-05-29 Asm Japan K.K. Method of forming ru film and metal wiring structure
KR100832704B1 (ko) * 2006-12-21 2008-05-28 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
US20080171436A1 (en) * 2007-01-11 2008-07-17 Asm Genitech Korea Ltd. Methods of depositing a ruthenium film
US20080242088A1 (en) * 2007-03-29 2008-10-02 Tokyo Electron Limited Method of forming low resistivity copper film structures
US20080272421A1 (en) * 2007-05-02 2008-11-06 Micron Technology, Inc. Methods, constructions, and devices including tantalum oxide layers
US7829454B2 (en) * 2007-09-11 2010-11-09 Tokyo Electron Limited Method for integrating selective ruthenium deposition into manufacturing of a semiconductior device
US7704879B2 (en) * 2007-09-27 2010-04-27 Tokyo Electron Limited Method of forming low-resistivity recessed features in copper metallization
US7737028B2 (en) * 2007-09-28 2010-06-15 Applied Materials, Inc. Selective ruthenium deposition on copper materials
US7884012B2 (en) * 2007-09-28 2011-02-08 Tokyo Electron Limited Void-free copper filling of recessed features for semiconductor devices
KR101544198B1 (ko) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US7655564B2 (en) * 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
US8012532B2 (en) * 2007-12-18 2011-09-06 Micron Technology, Inc. Methods of making crystalline tantalum pentoxide
KR20090067505A (ko) * 2007-12-21 2009-06-25 에이에스엠지니텍코리아 주식회사 루테늄막 증착 방법
US7776740B2 (en) * 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
US20090205538A1 (en) * 2008-01-24 2009-08-20 Thompson David M Organometallic compounds, processes for the preparation thereof and methods of use thereof
US20090209777A1 (en) * 2008-01-24 2009-08-20 Thompson David M Organometallic compounds, processes for the preparation thereof and methods of use thereof
US20090203928A1 (en) * 2008-01-24 2009-08-13 Thompson David M Organometallic compounds, processes for the preparation thereof and methods of use thereof
US20090200524A1 (en) * 2008-01-24 2009-08-13 Thompson David M Organometallic compounds, processes for the preparation thereof and methods of use thereof
US7799674B2 (en) * 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US8247030B2 (en) * 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US8208241B2 (en) * 2008-06-04 2012-06-26 Micron Technology, Inc. Crystallographically orientated tantalum pentoxide and methods of making same
US7799681B2 (en) * 2008-07-15 2010-09-21 Tokyo Electron Limited Method for forming a ruthenium metal cap layer
US8084104B2 (en) * 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US20100081274A1 (en) * 2008-09-29 2010-04-01 Tokyo Electron Limited Method for forming ruthenium metal cap layers
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7977235B2 (en) * 2009-02-02 2011-07-12 Tokyo Electron Limited Method for manufacturing a semiconductor device with metal-containing cap layers
US8716132B2 (en) * 2009-02-13 2014-05-06 Tokyo Electron Limited Radiation-assisted selective deposition of metal-containing cap layers
US8329569B2 (en) * 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
WO2011106072A2 (en) * 2010-02-23 2011-09-01 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Use of ruthenium tetroxide as a precursor and reactant for thin film depositions
US8357614B2 (en) * 2010-04-19 2013-01-22 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Ruthenium-containing precursors for CVD and ALD
JP2013530304A (ja) * 2010-04-19 2013-07-25 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Cvd及びald用のルテニウム含有前駆体
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
JP6807251B2 (ja) * 2017-03-02 2021-01-06 東京エレクトロン株式会社 ルテニウム配線の製造方法
TW201932634A (zh) * 2017-11-01 2019-08-16 南韓商Dnf有限公司 含釕薄膜之製造方法及以此方法製造之含釕薄膜
WO2019088722A1 (ko) * 2017-11-01 2019-05-09 (주)디엔에프 루테늄함유 박막의 제조방법 및 이로부터 제조된 루테늄함유 박막
WO2019154945A1 (en) 2018-02-12 2019-08-15 Merck Patent Gmbh Methods of vapor deposition of ruthenium using an oxygen-free co-reactant
CN110952081B (zh) * 2018-09-27 2022-04-29 Imec 非营利协会 用于形成互连部的方法和溶液

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5130172A (en) * 1988-10-21 1992-07-14 The Regents Of The University Of California Low temperature organometallic deposition of metals

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3351856B2 (ja) * 1992-04-20 2002-12-03 テキサス インスツルメンツ インコーポレイテツド 構造体およびコンデンサの製造方法
JP3407204B2 (ja) * 1992-07-23 2003-05-19 オリンパス光学工業株式会社 強誘電体集積回路及びその製造方法
US5314727A (en) * 1992-07-28 1994-05-24 Minnesota Mining & Mfg. Co./Regents Of The University Of Minnesota Chemical vapor deposition of iron, ruthenium, and osmium
US5392189A (en) * 1993-04-02 1995-02-21 Micron Semiconductor, Inc. Capacitor compatible with high dielectric constant materials having two independent insulative layers and the method for forming same
US5352488A (en) * 1993-05-14 1994-10-04 Syracuse University Chemical vapor deposition process employing metal pentadienyl complexes
US5407855A (en) * 1993-06-07 1995-04-18 Motorola, Inc. Process for forming a semiconductor device having a reducing/oxidizing conductive material
US5566045A (en) * 1994-08-01 1996-10-15 Texas Instruments, Inc. High-dielectric-constant material electrodes comprising thin platinum layers
US5555486A (en) * 1994-12-29 1996-09-10 North Carolina State University Hybrid metal/metal oxide electrodes for ferroelectric capacitors
US5695815A (en) * 1996-05-29 1997-12-09 Micron Technology, Inc. Metal carboxylate complexes for formation of metal-containing films on semiconductor devices

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5130172A (en) * 1988-10-21 1992-07-14 The Regents Of The University Of California Low temperature organometallic deposition of metals

Also Published As

Publication number Publication date
KR20010079702A (ko) 2001-08-22
DE69914092D1 (de) 2004-02-12
EP1115900B1 (en) 2004-01-07
US6074945A (en) 2000-06-13
DE69914092T2 (de) 2004-10-14
EP1115900A1 (en) 2001-07-18
MY121432A (en) 2006-01-28
ATE257522T1 (de) 2004-01-15
AU5556399A (en) 2000-03-21
JP2002523907A (ja) 2002-07-30
TW504521B (en) 2002-10-01
WO2000012777A1 (en) 2000-03-09

Similar Documents

Publication Publication Date Title
KR100629029B1 (ko) 루테늄 금속막의 제조 방법
KR100458355B1 (ko) 루테늄 산화물막의 제조 방법
US6380080B2 (en) Methods for preparing ruthenium metal films
US6541067B1 (en) Solvated ruthenium precursors for direct liquid injection of ruthenium and ruthenium oxide and method of using same
JP3417751B2 (ja) 半導体装置の製造方法
US5273775A (en) Process for selectively depositing copper aluminum alloy onto a substrate
US5866205A (en) Process for titanium nitride deposition using five- and six-coordinate titanium complexes
US20060121733A1 (en) Selective formation of metal layers in an integrated circuit
US6787186B1 (en) Method of controlled chemical vapor deposition of a metal oxide ceramic layer
KR20010082706A (ko) 신규한 선구체로부터 배리어의 화학기상증착
KR100256669B1 (ko) 화학기상증착 장치 및 그를 이용한 구리 박막 형성 방법
US5672385A (en) Titanium nitride film-MOCVD method incorporating use of tetrakisdialkylaminotitanium as a source gas
US5659057A (en) Five- and six-coordinate precursors for titanium nitride deposition
US6329286B1 (en) Methods for forming conformal iridium layers on substrates
US20200157680A1 (en) Peald processes using ruthenium precursor
EP1995347A1 (en) Method of forming metal film
US7041596B1 (en) Surface treatment using iodine plasma to improve metal deposition
US11371138B2 (en) Chemical vapor deposition processes using ruthenium precursor and reducing gas
KR20070014195A (ko) 유기금속 전구체 화합물

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120821

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20130903

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140901

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee