KR100602469B1 - 저 유전 필름을 위한 기계적 강화제 첨가제 - Google Patents

저 유전 필름을 위한 기계적 강화제 첨가제 Download PDF

Info

Publication number
KR100602469B1
KR100602469B1 KR1020040038616A KR20040038616A KR100602469B1 KR 100602469 B1 KR100602469 B1 KR 100602469B1 KR 1020040038616 A KR1020040038616 A KR 1020040038616A KR 20040038616 A KR20040038616 A KR 20040038616A KR 100602469 B1 KR100602469 B1 KR 100602469B1
Authority
KR
South Korea
Prior art keywords
film
silicon
mixture
hydrocarbon
cyclic
Prior art date
Application number
KR1020040038616A
Other languages
English (en)
Other versions
KR20040104402A (ko
Inventor
진루이스 빈센트
마크레오나르드 오네일
레이몬드니콜라스 브르티스
아아론스코트 루카스
브리안케이트 피터슨
마크다니엘 비트너
Original Assignee
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 filed Critical 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Publication of KR20040104402A publication Critical patent/KR20040104402A/ko
Application granted granted Critical
Publication of KR100602469B1 publication Critical patent/KR100602469B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C11/00Multi-cellular glass ; Porous or hollow glass or glass particles
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C3/00Glass compositions
    • C03C3/04Glass compositions containing silica
    • C03C3/045Silicon oxycarbide, oxynitride or oxycarbonitride glasses
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 명세서에서는 혼합물 내에 메틸기와 같은 유기기의 양을 조정함으로써 강화된 기계적 성질을 가지는, 저 유전 상수 유기규산염(OSG)을 제조하기 위한 화학기상증착 방법을 서술한다. 본 발명의 한 구체예에서, OSG 필름은 Si 원자당 3~4개의 Si-O 결합, Si 원자당 0~1개의 Si-H, Si-Br, 및 Si-Cl 결합으로 구성된 군에서 선택된 결합, 및 0개의 Si-C 결합을 포함하는 제1 규소-함유 전구체, 및 Si 원자당 적어도 1개의 Si-C 결합을 포함하는 제2 규소-함유 전구체를 포함하는 혼합물로부터 증착된다. 본 발명의 다른 구체예에서, OSG 필름은 비대칭 규소-함유 전구체를 포함하는 혼합물로부터 증착된다. 또다른 구체예에서, 다공성 OSG 필름을 제공하기 위해 혼합물은 포로젠 전구체를 추가로 포함할 수 있다.

Description

저 유전 필름을 위한 기계적 강화제 첨가제{MECHANICAL ENHANCER ADDITIVES FOR LOW DIELECTRIC FILMS}
도 1은 C/Si 비가 0인 완전히 네트워크화된 SiO2 물질을 도시한다.
도 2는 C/Si 비가 1이고, 모든 탄소에 메틸기가 결합된 OSG 물질을 도시한다.
도 3은 물질의 C/Si 비의 증가에 따른 유전 상수와 경도 간의 예상되는 상관 관계를 도시한다(모든 인자들은 SiO2에 대한 것으로 정규화되며, 모든 C는 규소에 결합한 메틸기로서 물질에 결합된다고 가정).
도 4는 200~220℃ 범위의 증착 온도에서, 디에톡시메틸실란 및 트리에톡시실란을 포함하는 혼합물의 증착으로 제조된 증착 필름의 TES 몰%와 유전 상수 간의 상관관계를 도시한다.
도 5는 150℃의 증착 온도에서 디에톡시메틸실란으로 증착된 비교 필름의 적외선 스펙트럼 및 210℃의 증착 온도에서 디에톡시메틸실란 및 트리에톡시실란을 포함하는 혼합물로 증착된 필름의 적외선 스펙트럼을 도시한다. 상기 양 스펙트럼의 흡광도는 필름 두께에 대해 정규화한다.
도 6은 다양한 증착 조건 및 250~300℃ 범위의 증착 온도에서, 디에톡시메틸 실란으로 또는 디에톡시메틸실란 및 트리에톡시실란을 포함하는 혼합물로 증착된 필름에서 얻은 경도 및 유전 상수 데이타를 도시한다. 2.8 이하의 유전 상수를 가지는 필름은 포로젠 전구체 알파-테르핀넨으로 동시증착하고, 증착 후 어닐링한다.
관련 출원의 상호 참조
본 출원은 2003년 5월 29일에 제출된 미국 가출원 번호 60/474,266의 우선권을 주장한다.
발명의 배경
전자 산업은 회로 및 집적회로(IC)의 성분 간의 절연층으로서의 유전 물질 및 연관된 전자 소자를 사용한다. 마이크로 전자 소자(예, 컴퓨터 칩)의 속도 및 기억 저장 용량을 증가시키기 위해, 라인 디멘션은 감소하고 있는 상태이다. 라인 디멘션이 감소함에 따라, 막간 유전(ILD)를 위한 절연 요구가 더욱 엄격해진다. 간격의 움츠러듬은 RC 시간 상수(여기서, R은 전도성 라인의 저항성이고, C는 절연 유전 층간의 전기용량이다)의 극소화를 위해 저 유전 상수를 요구한다. C는 간격에 반비례하고, 막간 유전(ILD)의 유전 상수(k)에 비례한다. SiH4 또는 TEOS(Si(OCH2CH3)4, 테트라에틸오르토실리케이트) 및 O2로부터 생산된 종래의 실리카(SiO2) CVD 유전 필름은 4.0의 유전 상수(k)를 가진다.
저 유전 상수를 가지는 규산염-계 CVD 필름을 생산하기 위한 여러 산업상 시도들이 있어왔다. 저 유전 상수 필름을 생산하는 효율적인 한가지 방법은 산화규소 필름을 유기기로 도핑하는 것이다. 결과 필름은 2.7~3.5 범위의 유전 상수를 가질 수 있다. 명세서에서 유기규산염(organosilicate, "OSG") 필름으로 언급되는, 이러한 도핑된 필름은 유기규소 전구체 및 산화제로부터 밀집한 필름(밀도~1.5g/cm3)으로 증착된다.
OSG 필름은 화학기상증착(CVD) 방법에 의해 증착될 수 있다. 전형적인 CVD 방법에서, 전구체 가스는 반응챔버로 유입되고, 활성화되며, 물질이 챔버 내 기재 상에 증착된다. 전구체의 활성화는 예컨대, 열 또는 RF-결합 플라스마원 같은 에너지원을 사용하여 발생될 수 있다. OSG 물질의 화학기상증착은 다양한 전구체를 사용하여 얻을 수 있다. 통상 사용되는 전구체의 예는 메틸기를 포함하는 유기실란(예컨대, 모노~테트라메틸실란, 디메틸디메톡시실란, 디에톡시메틸실란, 옥타메틸시클로테트라실록산, 및/또는 테트라메틸시클로테트라실록산)이다. 플라스마-강화 화학기상증착(PECVD)은 메틸-함유 유기실란을 반응시켜 OSG 필름을 형성하게 하는 가장 통상적으로 사용되는 CVD 방법이다. PECVD 방법에 의해 생산된 OSG 필름은 전형적으로 10~40 원자%의 탄소와 2.7~3.2 범위의 유전 상수를 가진다(필름이 다공성이라면, 저 유전 상수 수치가 얻어질 수 있음에도 불구하고). 더 높은 소자 밀도 및 더 작은 디멘션이 요구되기 때문에, 산업 분야는 2.7 이하의 유전 상수를 가지는 필름을 요구하며, 이에 따라 산업분야는 개선된 절연 성질을 위해 다양한 다공성 물질로 전환하였다.
IC 내 ILD로서 OSG 물질의 이행은 여러 실패를 겪었다. 주된 장애 중 하나는 전통적인 실리카(SiO2) 사용시, OSG 물질의 기계적 성질이 감소하는 것이다. ILD의 기계적 성질은 전형적으로 경도(H) 또는 Young 계수로서, 기가파스칼(GPa)로 나노인덴테이션으로 표시된다. 경도는 필름을 인덴트하기 위해 요구되는 적용력의 측정인 반면, Young 계수는 적용력 또는 압착에 대한 물질의 탄성 반응이다. 실리카는 8~10 GPa 범위일 수 있는 경도를 가진다. 반대로, OSG 물질은 유전 상수 및 물질이 증착되는 공정 조건에 의존하여, 0.1~5 GPa 범위일 수 있는 경도를 가진다. 기계적 힘은 식각, 화학적 기계적 평탄화(CMP) 공정, 및 생산품 상의 구리, 구리 금속("Cu")을 위한 확산 장애층과 캡층과 같은 부가층의 증착과 같은 일련의 공정 단계에서 요구된다. 이러한 공정의 일부에서, 상이한 물질들 간의 열팽창 미스매치의 모듈러스 때문에(열분해 또는 디라미네이션을 초래), 다중층의 온도 순환은 응력을 유발할 수 있다. 표면 평면도 또한 요구되며, 필름 형성 과정 동안의 인자와 같은 공정 인자의 조절을 통해서, 그리고 CMP를 통해서 유지될 수 있다. 기계적 통합성, 강성도, 압축력 및 전단력은 특히 CMP를 유지하기 위해 중요할 수 있다. 이러한 기계적 성질은 또한 최종 생산품의 포장에도 중요하다.
물질에 화학적으로 결합된 유기기의 양은 유전 상수 및 기계적 강도 둘 모두에 영향을 준다. 유기기를 포함하지 않은 SiO2 필름에 비교할때, OSG 필름에서 관찰되는 기계적 강도 감소는 말단 유기기를 도입함으로써, 실리카 네트워크내의 붕괴에 부분적으로 기여할 수 있다. 네트워크 붕괴를 서술하는 한 방법은 필름 내 탄소 원자의 수(탄소가 메틸기로서 또는 메틸렌종으로서 실질상 존재한다고 가정)의 필름내 규소 원자의 수에 대한 비(이를 명세서에서는 C/Si 비로 언급하였다)를 사용하는 것이다. 도 1 및 2는 C/Si 비가 각각 0과 1인 실리카 필름과 OSG 필름의 네트워크를 도시한다. 필름내에 포함된 유기기가 많을수록, 상응하는 경도를 낮출 수 있는 Si-O 네트워크를 형성할 규소 위치가 줄어들게 된다고 믿어진다. 그러나, 필름내 너무 적은 유기기가 있다면, 유전 상수는 반대되는 영향을 받을 수 있다. 예를 들어, 유전 상수를 낮추기 위해 유기기를 첨가하여 얻는 이익은 필름 내 유기기의 양의 증가에 따라 감소한다.
증착된 필름 내 C/Si 비를 감소시키는, 즉, 경도를 증가시키는 한가지 방법은 증착 공정 조건을 다양하게 하는 것이다. 일반적으로, 더 높은 에너지 조건에서, 예컨대, 더 높은 온도 및/또는 더 높은 플라스마 전력에서, CVD 또는 PECVD 반응동안 더 많은 Si-C 결합이 깨지게 된다. 그러나, C-H 또는 C-C 결합 같은 바람직한 결합들의 분포에도 반대적인 영향을 줄 수 있기 때문에, 더 높은 에너지 조건이 사용될 수 없는 경우가 있다. 이러한 높은 에너지 조건은 또한 다공성 OSG 필름의 생산을 더 어렵게 할 수도 있다.
C/Si 비를 감소시키는 다른 방법은 열, 플라스마 및/또는 특정 대기(예컨대, O2)하의 다른 처리일 수 있는 후 처리를 통한 것일 수 있다. 어떤 예에서는, 각 웨이퍼에 공정 시간이 추가될 수 있으며, 집적 회로 내의 인접한 라미네이트 층에 잠재적으로 손상을 줄 수 있기 때문에, 후 처리를 수행하는 것이 비바람직할 수 있다.
발명의 개요
본 발명은 저 유전상수 물질 및 필름, 및 동일한 것의 제조를 위한 화학기상증착-기초 방법을 서술한다. 한 양태로, Si 원자당 3~4개의 Si-O 결합, Si 원자당 0~1개의 Si-H, Si-Br, 및 Si-Cl 결합으로 구성된 군에서 선택된 결합, 및 0개의 Si-C 결합을 포함하는 제1 규소-함유 전구체, 및 Si 원자당 적어도 1개의 Si-C 결합을 포함하는 제2 규소-함유 전구체를 포함하는 혼합물 (i); 및 Si-C 결합의 Si 원자에 대한 개수비가 1 이하인 비대칭 규소-함유 전구체를 포함하는 혼합물 (ii)로부터 선택된 하나의 혼합물을 제공하는 제공 단계; 그안에 기재를 포함하는 반응 챔버에 혼합물을 도입하는 도입 단계; 및 반응을 유도하고, 기재 상에 유기규산염 유리 필름을 증착하기 위해 반응 챔버 내의 혼합물에 에너지를 공급하는 공급 단계를 포함하는, 4.0 또는 그 이하의 유전 상수를 가지는 유기규산염 유리 필름의 제조 방법을 제공한다.
다른 양태에서, 기재 및 Si 원자당 3~4개의 Si-O 결합, Si 원자당 0~1개의 Si-H, Si-Br, 및 Si-Cl 결합으로 구성된 군에서 선택된 결합, 및 0개의 Si-C 결합을 포함하는 제1 규소-함유 전구체; Si 원자당 적어도 1개의 Si-C 결합을 포함하는 제2 규소-함유 전구체; 및 포로젠 전구체를 포함하는 혼합물을 플라스마 강화 화학기상증착 반응 챔버내에 제공하는 제공 단계; 반응을 유도하고, 기재 상에 필름을 증착하기 위해 반응 챔버 내의 혼합물에 에너지를 공급하는 공급 단계; 및 포로젠 전구체의 적어도 한 부분을 제거하고, 다공성 유기규산염 유리 필름을 제공하기 위 해, 열, 광자, 전자, 플라스마, 마이크로파, 및 화학 에너지에서 선택된 적어도 하나의 에너지원으로 증착된 필름을 처리하는 처리 단계를 포함하는 3.0 또는 그 이하의 유전 상수를 가지는 다공성 유기규산염 유리 필름의 제조 방법을 제공한다.
본 발명의 추가적인 양태에서, 기재 및 1 이하의 Si-C 결합의 Si 원자에 대한 비를 가지는 비대칭 규소-함유 전구체 및 포로젠 전구체를 포함하는 혼합물을 플라스마 강화 화학기상증착 반응 챔버내에 제공하는 제공 단계; 반응을 유도하고, 기재 상에 필름을 증착하기 위해 반응 챔버 내의 혼합물에 에너지를 공급하는 공급 단계; 및 포로젠 전구체의 적어도 한 부분을 제거하고, 다공성 유기규산염 유리 필름을 제공하기 위해, 열, 광자, 전자, 플라스마, 마이크로파, 및 화학 에너지에서 선택된 적어도 하나의 에너지원으로 증착된 필름을 처리하는 처리 단계를 포함하는 3.0 또는 그 이하의 유전 상수, 및 1 또는 그 이하의 C/Si 비를 가지는 다공성 유기규산염 유리 필름의 제조 방법을 제공한다.
본 발명의 또다른 양태에서, Si 원자당 3~4개의 Si-O 결합, Si 원자당 0~1개의 Si-H, Si-Br, 및 Si-Cl 결합으로 구성된 군에서 선택된 결합, 및 0개의 Si-C 결합을 포함하는 제1 규소-함유 전구체; 적어도 1개의 Si-C 결합을 포함하는 제2 규소-함유 전구체(여기서, 제 1 및 제2 규소-함유 전구체의 양은 혼합물 내의 전체 규소-함유 전구체 양에 대해 독립적으로 적어도 10 몰%이다); 및 포로젠 전구체를 포함하는 3.0 또는 그 이하의 유전 상수를 가지는 다공성 유기규산염 유리 필름을 제조하기 위한 혼합물을 제공한다.
이들 및 본 발명에 대한 다른 양태들은 이하 설명으로부터 더 명백할 것이 다.
발명의 상세한 설명
본 발명은 저 유전 상수(즉, 4.0 또는 그 이하) 유기규산염 유리(OSG) 물질 및 동일한 것을 포함하는 필름의 제조 방법을 서술한다. 어떤 구체예에서는, 포로젠 전구체를 0~80% 범위로 혼합물 또는 전구체에 추가하여, 저 유전 상수 OSG 물질 및 필름은 가공된 다공성을 가진다. 경도과 같은 강화된 기계적 성질을 가진 저 유전 상수 OSG는 증착 조건 및/또는 후-처리에만 의존하기 보다는, 하나 이상의 전구체를 포함하는 혼합물 내의 Si-C/Si 비를 조절함으로써, 증착될 수 있다. 증착 전 및/또는 증착 동안, 전구체 혼합물의 조성을 조절하는 것이 어떤 유전 상수에서 개선된 기계적 성질, 열 안정성, 및 화학물질 저항성(산소, 수성 산화 환경, 등에 대한 저항성)을 나타내는 OSG 물질을 가능하게 한다고 믿어진다. 어떤 구체예에서는, 300℃ 또는 그 이상의 높은 증착 온도가 허락되지 않을때, 본 명세서에 서술된 방법이 특히 유용할 수 있다. 이러한 구체예에서, 본 명세서에 서술된 방법이 예를 들어, 열적으로 불안정한 기가 결합되어 있는 OSG/유기 중합체 필름, 다공성 OSG 필름, 및 OSG 필름의 동시증착에 유용할 수 있다.
이론에 구속되려 의도하지 않았음에도 불구하고, Si-O 기초 물질 또는 필름의 유전 상수를 4.0 이하로 낮추려면, 물질의 밀도를 감소해야 한다고 믿어진다. 유전 상수를 4.0 이하로 낮추고, 물질의 밀도를 감소시키는 한 방법은 OSG 물질의 구조에 하나 이상의 유기기를 첨가하는 것이다. 그 구조에 하나 이상의 유기기가 결합된 필름은 2.2 g/ml 또는 그 이하, 1.5 g/ml 또는 그 이하, 또는 1.25 g/ml 또는 그 이하의 밀도를 가질 것이다. 어떤 구체예에서, 필름에 결합된 유기기의 대다수는 메틸기(-CH3)이다. 그러나, 필름 내 유기기의 농도가 특정 값에 도달한 후에는, 추가적인 유기기가 유전 상수를 두드러지게 감소시키지 않을 것이다. 실제로, 유기기가 더 많이 첨가될수록 기계적 성질은 감소할 것이다.
선택적 구체예에서, 필름에 공극 공간 또는 소공을 첨가하여, 물질 또는 필름의 유전 상수는 3.0 또는 그 이하로 낮아질 것이며, 그 결과 필름의 밀도는 감소된다. 이러한 구체예에서, 포로젠 전구체를 추가적으로 포함하는 규소-함유 전구체의 혼합물로 물질 또는 필름이 증착될 것이다. 다공성 필름은 1.5 g/ml 또는 그 이하, 또는 1.25 g/ml 또는 그 이하, 또는 1.0 g/ml 또는 그 이하의 밀도를 가진다. 명세서에서 사용된 "포로젠"은 결과 필름에 내에 공극 공간을 발생시키는데 사용되는 시약이다. 증착 과정 동안, 규소-함유 전구체 및 포로젠 전구체는 화학적으로 활성화되며, 기재 표면 상에 동시-증착되어 복합 유기규산염 물질을 형성한다. 화학적 활성화의 결과로서, 포로젠 전구체는 중합하여 전구체 자신 보다 더 큰 분자량을 가지는 물질을 형성할 수 있다. 명세서에 사용된 용어 "중합하다"는 단량체를 조직적 또는 무작위적 순서로 반복적으로 첨가하여 중합체가 형성되는 과정은 물론, 전구체의 단량체 또는 저중합체가 이온화되고, 플라스마 및/또는 다른 에너지원에 의해 단편화된 후, 이러한 이온, 라디칼 및 단편들의 반응/조합으로 중합체가 형성되는 과정을 나타낸다. 증착 후, 열, 빛, 전자에너지 및 그들의 조합과 같은 에너지 원(이로 제한되는 것은 아님)의 도입으로, 복합 유기규산염 물질로부터 포 로젠이 제거될 수 있다. 이러한 처리는 진공부터 대기압까지 범위의 하나 이상의 압력에서, 및 비활성, 산화, 또는 환원 조건 하에서, 수행될 수도 있다. 포로젠의 적어도 한 부분의 제거가 다공성 유기규산염 물질을 초래한다. 이러한 구체예에서, 결과 필름의 다공성도 및/또는 유전 상수는 전구체 혼합물 내의 규소-함유 전구체의 포로젠 전구체에 대한 비에 영향을 받을 수도 있다.
도 3은 첨가되는 메틸기 양의 증가에 따른, 비-다공성 SiO2 필름의 예상되는 경도 및 유전 상수에 대한 도시이다. 경도는 Boolchand 등의 이론(P. Boolchand, M. Zhang, B. Goodman, Phys. Rev. B, 53, 11488, 1996)을 원자 보다는 메틸기에 적용하고, 말단 메틸기가 기계적 성질에 미치는 영향을 조사하여 예측할 수 있다. 유전 상수는 중합체 및 3차원적으로 연결된 무정형 물질의 유전 상수를 예측하는 그룹기여법을 통해 예측할 수 있다. 경도(탄성률에 비례한다고 가정) 및 유전 상수는 3.8의 유전 상수 및 72 GPa의 모듈러스를 가지는 수산화기가 없는 실리카에 대해 정규화될 수 있다. 이러한 수치를 사용하여, 도 3은 필름내의 C/Si 비가 0에서 거의 0.6으로 증가(이에 상응하는 경도는 66%로 감소)할때, 유전 상수가 19% 감소함을 나타낸다. 그러나, C/Si 비가 1까지 추가적으로 증가해도, 유전 상수는 단지 추가적으로 4% 만이 감소하는 결과를 보이는 반면, 경도는 0에 접근한다. 그러므로, 유기기로 치환된 Si 원자의 %를 제한하는 수단을 가지는 것이 바람직할 것이다. 결과적으로, 이는 주어진 유전 상수에 대한 기계적 성질을 극대화할 것이다. 이는 특히 다공성 OSG 필름에 중요할 수 있다.
바람직한 기계적 성질을 가진 저 유전 상수 OSG 필름은 하나 이상의 규소-함 유 전구체 및 선택적으로 하나 이상의 포로젠 전구체를 포함하는 전구체 혼합물로부터 제조된다. 이 과정으로 증착된 결과 필름은 Si, O, C, 및 H를 포함하며, 4 또는 그 이하의 유전 상수를 가진다. 한 구체예에서, OSG 필름은 Si 원자당 3~4개의 Si-O 결합, Si 원자당 0~1개의 Si-H, Si-Cl, 또는 Si-Br 결합, 및 0개의 Si-C 결합을 포함하는 제1 규소-함유 전구체, 및 Si 원자당 적어도 1개의 Si-C 결합을 포함하는 제2 규소-함유 전구체를 포함하는 혼합물로부터 증착된다. 이러한 구체예에서, 결과 OSG 필름은 제1 규소-함유 전구체를 포함하지 않은 혼합물로부터 증착된 비교 OSG 필름에서 측정된 탄소 함량 및 경도보다, 적어도 약 2 원자% 이하의 탄소 함량, 및 적어도 10% 이상의 경도를 가진다. 어떤 구체예에서, 제2 규소-함유 전구체는 Si-CH3 형태 내의 Si-C 결합, 또는 메틸기를 포함한다. 적절한 혼합물의 예는 제1 규소-함유 전구체 트리에톡시실란("TES")((CH3CH2O)3SiH) 및 제2 규소-함유 전구체 디에톡시메틸실란("DEMS")((CH3CH2O)2Si(CH3)H)를 포함한다. 그러나, 적절한 전구체 혼합물의 다른 예는 제1 규소-함유 전구체, 테트라메톡시실란 및 트리에톡시실란, 및 제2 규소-함유 전구체, 디에톡시메틸실란 및 메틸트리에톡시실란을 포함한다.
어떤 구체예에서, OSG 필름에 포함된 대부분의 탄소는 제2 규소-함유 전구체가 제공된다. 제1 규소-함유 전구체의 제2 규소-함유 전구체에 대한 비는 OSG 필름의 바람직한 탄소 함량, 유전 상수, 및 기계적 성질에 따라 조정될 수 있다. 제1 및 제2 규소-함유 전구체는 예를 들어, 사용 전 전구체의 혼합과 같이 준비된 혼합 물로서 반응 챔버에 전달될 수 있고, 또는 선택적으로 다수의 분리된 라인을 따라 반응 챔버에 전달된 후, 반응 챔버 내에서 혼합될 수 있다.
제1 규소-함유 전구체는 3~4개의 Si-O 결합, 0~1개의 Si-H, Si-Cl, 또는 Si-Br 결합, 및 0개의 Si-C 결합을 가진다. 첫번째 구체예의 제1 규소-함유 전구체의 Si-O 결합은 실라놀(예, Si-OH), 알콕시실란(예, Si-OCH2CH3), 또는 아세톡시실란(예, SiO(OC)CH3)의 형태를 취할 수 있다. 본 명세서의 구체예에서, 제1 규소-함유 전구체는 Si-H, Si-Cl, 또는 Si-Br에서 선택된 1개의 결합(이 결합들은 증착 동안 쉽게 활성화될 수 있다)을 포함한다. 이러한 구체예에서, Si-Cl 또는 Si-Br 결합은 증착 동안 깨질 것이며, 실제로 결과 필름에는 어떤 Cl 및 Br도 결합되어있지 않을 것이다. 제1 규소-함유 전구체는 화학식 HSi(OR1)3(여기서, OR1은 독립적으로 OH, C1-C8 직쇄 또는 분지쇄 알콕시, 또는 아세테이트기이다) 및 Si(OR2) 4(여기서, OR2는 독립적으로 OH, C1-C8 직쇄 또는 분지쇄 알콕시, 또는 아세테이트기이다)로 표현되는 화합물일 것이다. 제1 규소-함유 전구체의 예에는 트리에톡시실란, 트리(tert)부톡시실란, 트리메톡시실란, 트리아세톡시실란, 테트라(tert)부톡시실란, 테트라에톡시실란, 테트라메톡시실란, 테트라아세톡시실란, 및 디(tert)부톡시디아세톡시실란이 포함되나, 이로 제한되는 것은 아니다. 제1 규소-함유 전구체의 추가적 예에는 트리에톡시클로로실란 및 트리메톡시브로모실란이 포함된다.
제2 규소-함유 전구체는 하나 이상의 Si-C 결합을 가진다. Si-C 결합은 알킬실란(Si-CH3), 아릴실란(Si-Ph), 또는 Si-C 결합을 가지는 다른 유기실란 화합물로서 결합될 수 있다. 제2 규소-함유 전구체의 예에는 디에톡시메틸실란, 디메톡시메틸실란, 디(tert)부톡시메틸실란, 메틸트리아세테이톡시실란, 디메틸아세테이톡시실란, 디메틸디아세톡시실란, 디메틸디메톡시실란, 디메틸디에톡시실란, 메틸트리에톡시실란, 네오헥실트리에톡시실란, 네오펜틸트리메톡시실란, 디아세톡시메틸실란, 페닐디메톡시실란, 페닐디에톡시실란, 페닐트리에톡시실란, 페닐트리메톡시실란, 페닐메틸디메톡시실란, 1,3,5,7-테트라메틸테트라시클로실록산, 옥타메틸테트라시클로실록산, 1,1,3,3-테트라메틸디실록산, 1-네오헥실-1,3,5,7-테트라메틸시클로테트라실록산, 헥사메틸디실록산, 1,3-디메틸-1-아세톡시-3-에톡시디실록산, 1,2-디메틸-1,2-디아세톡시-1,2-디에톡시디실란, 1,3-디메틸-1,3-디에톡시디실록산, 1,3-디메틸-1,3-디아세톡시디실록산, 1,2-디메틸-1,1,2,2-테트라아세톡시디실란, 1,2-디메틸-1,1,2,2-테트라에톡시디실란, 1,3-디메틸-1-아세톡시-3-에톡시디실록산, 1,2-디메틸-1-아세톡시-2-에톡시디실란, 메톡실아세톡시-t-부톡시실란, 메틸실란, 디메틸실란, 트리메틸실란, 테트라메틸실란, 헥사메틸디실란, 테트라메틸디실란, 및 디메틸디실란이 포함되나, 이로 제한되는 것은 아니다.
선택적 구체예에서, 혼합물은 비대칭 규소-함유 전구체를 포함한다. 비대칭 규소-함유 전구체는 Si-C 및 Si-O 결합을 포함하며, 1 이하의 Si-C 결합의 Si 원자에 대한 개수비를 가진다. 비대칭 규소-함유 전구체는 예를 들어, 직쇄 또는 고리형 실록산(Si-O-Si 주쇄), 및 유기실란 저중합체(Si-Si 주쇄)일 수 있다. 비대칭 규소-함유 전구체의 예에는 1-메틸-1,1,2,2,2-펜타메톡시디실란, 1-메틸-1,1,2,2-테트라에톡시디실란, 1-메틸-1,1,3,3,3-펜타에톡시디실록산, 및 1-메틸-1,1,3,3-테트라메톡시디실록산이 포함되나, 이로 제한되는 것은 아니다.
이하는 명세서에 서술된 혼합물의 사용에 적합한 규소-함유 전구체의 예들이나, 범위가 이로 제한되는 것은 아니다. 이하의 화학식 및 명세서에서 서술된 모든 화학식에서, 용어 "독립적으로"는 주어 R기가 상이한 윗첨자를 가진 다른 R기에 대해 독립적으로 선택될 뿐만 아니라, 동일한 R기의 추가적인 어떤 종류에 대해서도 독립적으로 선택됨을 표시하는 것으로 이해되어야 한다. 예를 들어, 화학식 R1 n(OR2)4-nSi에서, n이 2 또는 3일때, 2개 또는 3개의 R1 기는 서로에 대해 또는 R2에 대해 동일할 필요가 없다.
R1 n(OR2)3-nSi(여기서, R1은 독립적으로 H, C1 -C4, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화될 수 있고; R2는 독립적으로 C1-C6, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화될 수 있으며; 그리고 n은 1-3이다). 예: 디에톡시메틸실란, 디메틸디메톡시실란.
R1 n(OR2)3-nSi-O-SiR3 m(OR4) 3-m(여기서, R1 및 R3은 독립적으로 H, C1-C4, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으 로 플루오르화될 수 있고; R2 및 R4는 독립적으로 C1-C6, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화될 수 있으며; n은 1-3이고; 그리고 m은 1-3이다). 예: 1,3-디메틸-1,3-디에톡시디실록산.
R1 n(OR2)3-nSi-SiR3 m(OR4) 3-m(여기서, R1 및 R3은 독립적으로 H, C1-C4, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화될 수 있고; R2 및 R4는 독립적으로 C1-C6, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화될 수 있으며; n은 1-3이고; 그리고 m은 1-3이다). 예: 1,2-디메틸-1,1,2,2-테트라에톡시디실란.
R1 n(O(O)CR2)4-nSi(여기서, R1은 독립적으로 H, C1-C4, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화될 수 있고; R2는 독립적으로 H, C1-C6, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화될 수 있으며; 그리고 n은 1-3이다). 예: 디메틸디아세톡시실란.
R1 n(O(O)CR2)3-nSi-O-SiR3 m(O(O)CR4 )3-m(여기서, R1 및 R3은 독립적으로 H, C1-C4, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화될 수 있고; R2 및 R4는 독립적으로 H, C1-C6 , 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화될 수 있으며; n은 1-3이고; 그리고 m은 1-3이다). 예: 1,3-디메틸-1,3-디아세톡시디실록산.
R1 n(O(O)CR2)3-nSi-SiR3 m(O(O)CR4 )3-m(여기서, R1 및 R3은 독립적으로 H, C1-C4, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화될 수 있고; R2 및 R4는 독립적으로 H, C1-C6 , 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화될 수 있으며; n은 1-3이고; 그리고 m은 1-3이다). 예: 1,2-디메틸-1,1,2,2-테트라아세톡시디실란.
R1 n(O(O)CR2)3-nSi-O-SiR3 m(OR4 )3-m(여기서, R1 및 R3은 독립적으로 H, C1-C4, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화될 수 있고; R2는 독립적으로 H, C1-C6, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화될 수 있으며; R4는 독립적으로 C1-C6, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화될 수 있고; n은 1-3이며; 그리고 m은 1-3이다). 예: 1,3-디메틸-1-아세톡시-3-에톡시디실록산.
R1 n(O(O)CR2)3-nSi-SiR3 m(OR4) 3-m(여기서, R1 및 R3은 독립적으로 H, C1-C4, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화될 수 있고; R2는 독립적으로 H, C1-C6, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화될 수 있으며; R4는 독립적으로 C1-C6, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화될 수 있고; n은 1-3이며; 그리고 m은 1-3이다). 예: 1,2-디메틸-1-아세톡시-2-에톡시디실란.
R1 n(OR2)p(O(O)CR4)4-(n+p)Si(여기서, R1은 독립적으로 H, C1-C4, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화될 수 있고; R2는 독립적으로 C1-C6, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화될 수 있으며; R4는 독립적으로 H, C1-C6, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화될 수 있고; n은 1- 3이며; 그리고 p는 1-3이다). 예: 메틸아세톡시-t-부톡시실란.
R1 n(OR2)p(O(O)CR4)3-n-pSi-O-SiR3 m(O(O)CR5)q(OR6)3-m-q(여기서, R1 및 R3은 독립적으로 H, C1-C4, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화될 수 있고; R2 및 R6은 독립적으로 C1-C 6, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화될 수 있으며; R4 및 R5는 독립적으로 H, C1-C6 , 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화될 수 있고; n은 1-3이며; m은 1-3이고; p는 1-3이며; 그리고 q는 1-3이다). 예: 1,3-디메틸-1,3-디아세톡시-1,3-디에톡시디실록산.
R1 n(OR2)p(O(O)CR4)3-n-pSi-SiR3 m(O(O)CR5)q(OR6)3-m-q(여기서, R1 및 R3은 독립적으로 H, C1-C4, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화될 수 있고; R2 및 R6은 독립적으로 C1-C 6, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화될 수 있으며; R4 및 R5는 독립적으로 H, C1-C6 , 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적 으로 플루오르화될 수 있고; n은 1-3이며; m은 1-3이고; p는 1-3이며; 그리고 q는 1-3이다). 예: 1,2-디메틸-1,2-디아세톡시-1,2-디에톡시디실란.
화학식 (OSiR1R3)x의 고리형 실록산(여기서, R1 및 R3 은 독립적으로 H, C1-C4, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화될 수 있고; x는 2-8의 어떤 정수일 수 있다). 예: 1,3,5,7-테트라메틸시클로테트라실록산, 옥타메틸시클로테트라실록산.
상기 전구체들은 하나 이상의 포로젠 전구체를 포함하는 혼합물에 첨가될 수 있으며, 또는 선택적으로, 전구체들은 규소-함유 포로젠화 전구체와 같이 그것에 결합된 포로젠을 가질 수 있으며, 이 분류의 다른 분자들 및/또는 n 및/또는 m이 0-3이 아닌 동일 분류의 분자들과 혼합될 수도 있다. 예: TEOS, 트리에톡시실란, 디-tert부톡시실란, 실란, 디실란, 디-tert부톡시디아세톡시실란, 등.
이하는 분리된 포로젠 전구체와의 사용에 적합한 규소-함유 전구체들을 나타내는 추가적 화학식들이다.
(a) 화학식 R1 n(OR2)p(O(O)CR3)4-(n+p)Si(여기서, R1은 독립적으로 H 또는 C1-C4 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화된 탄화수소이고; R2는 독립적으로 C1-C6 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플 루오르화된 탄화수소이며; R3은 독립적으로 H, C1-C6 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화된 탄화수소이고; n은 1-3이며; 그리고 p는 0-3이다).
(b) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-O-SiR 3 m(O(O)CR5)q(OR6)3-m-q(여기서, R1 및 R3은 독립적으로 H 또는 C1-C4 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화된 탄화수소이고; R2 및 R6은 독립적으로 C1-C6 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화된 탄화수소이며; R4 및 R5는 독립적으로 H, C1-C6 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화된 탄화수소이고; n은 0-3이며; m은 0-3이고; p는 0-3이며; 그리고 q는 0-3이다(n+m ≥1, n+p ≤3 및 m+q ≤3)).
(c) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-SiR 3 m(O(O)CR5)q(OR6)3-m-q(여기서, R1 및 R3은 독립적으로 H 또는 C1-C4 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화된 탄화수소이고; R2 및 R6은 독립적으로 C1-C6 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향 족, 부분적으로 또는 전체적으로 플루오르화된 탄화수소이며; R4 및 R5는 독립적으로 H, C1-C6 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화된 탄화수소이고; n은 0-3이며; m은 0-3이고; p는 0-3이며; 그리고 q는 0-3이다(n+m ≥1, n+p ≤3 및 m+q ≤3)).
(d) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-R 7-SiR3 m(O(O)CR5)q(OR6)3-m-q(여기서, R1 및 R3은 독립적으로 H 또는 C1-C4 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화된 탄화수소이고; R2, R6 및 R 7은 독립적으로 C1-C6 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화된 탄화수소이며; R4 및 R5는 독립적으로 H, C1-C6 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화된 탄화수소이고; n은 0-3이며; m은 0-3이고; p는 0-3이며; 그리고 q는 0-3이다(n+m ≥1, n+p ≤3 및 m+q ≤3)).
(e) 화학식 (R1 n(OR2)p(O(O)CR3)4-(n+p)Si) tCH4-t(여기서, R1은 독립적으로 H 또는 C1-C4 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화된 탄화수소이고; R2는 독립적으로 C1-C6 직쇄 또는 분지 쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화된 탄화수소이며; R3은 독립적으로 H, C1-C6 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화된 탄화수소이고; n은 1-3이며; p는 0-3이고; 그리고 t는 2-4이다(n+p ≤4)).
(f) 화학식 (R1 n(OR2)p(O(O)CR3)4-(n+p)Si) tNH3-t(여기서, R1은 독립적으로 H 또는 C1-C4 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화된 탄화수소이고; R2는 독립적으로 C1-C6, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화된 탄화수소이며; R3은 독립적으로 H, C1-C6 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화된 탄화수소이고; n은 1-3이며; p는 0-3이고; 그리고 t는 1-3이다(n+p ≤4)).
(g) 화학식 (OSiR1R3)x의 고리형 실록산(여기서, R1 및 R3 은 독립적으로 H, C1-C4, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화되고; x는 2-8의 어떤 정수일 수 있다).
(h) 화학식 (NR1SiR1R3)x의 고리형 실라잔(여기서, R1 및 R3은 독립적으로 H, C1-C4, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화되고; x는 2-8의 어떤 정수일 수 있다).
(i) 화학식 (CR1R3SiR1R3)x의 고리형 카르보실란(여기서, R1 및 R3은 독립적으로 H, C1-C4, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화되고; x는 2-8의 어떤 정수일 수 있다).
전구체로서 실록산 및 디실록산에 대한 상세설명을 통해 참고문헌이 형성되었음에도 불구하고, 트리실록산 및 더 긴 길이의 다른 직쇄 실록산과 같은 다른 실록산 또한 본 발명의 범위내에 속하는 것으로 이해되어야 한다.
이하는 규소-함유 포로젠화 전구체의 예이나, 이로 제한되는 것은 아니다. 포로젠 물질은 분리된 포로젠 전구체로서보다는, 동일 전구체 내에 포함된 하나 이상의 R1, R3 또는 R7기이다.
R1 n(OR2)3-nSi(여기서, R1은 독립적으로 H, C1 -C12, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화될 수 있고; R2는 독립적으로 C1-C12, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화될 수 있으며; 그리고 n은 1-3이다). 예: 디에톡시-네오-헥실실란.
R1 n(OR2)3-nSi-O-SiR3 m(OR4) 3-m(여기서, R1 및 R3은 독립적으로 H, C1-C12, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화될 수 있고; R2 및 R4는 독립적으로 C1-C12, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화될 수 있으며; n은 1-3이고; 그리고 m은 1-3이다). 예: 1,3-디에톡시-1-네오-헥실디실록산.
R1 n(OR2)3-nSi-SiR3 m(OR4) 3-m(여기서, R1 및 R3은 독립적으로 H, C1-C12, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화될 수 있고; R2 및 R4는 독립적으로 C1-C12, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화될 수 있으며; n은 1-3이고; 그리고 m은 1-3이다). 예: 1,2-디에톡시-1-네오-헥실디실란.
R1 n(OR2)3-nSi-R7-SiR3 m(OR 4)3-m(여기서, R1 및 R3은 독립적으로 H, C1-C12 , 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화될 수 있고; R2 및 R4는 독립적으로 C1-C12, 직쇄 또는 분지쇄, 포화 된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화될 수 있으며; R7은 독립적으로 C1-C12, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화될 수 있고, 두 Si원자 사이를 연결하며; n은 1-3이고; 그리고 m은 1-3이다). 예: 1,4-비스(디메톡시실릴)시클로헥산.
R1 n(OR2)3-nSi-SiR3 m(OR4) 3-m(여기서, R1 및 R3은 독립적으로 H, C1-C12, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화될 수 있고; R2 및 R4는 독립적으로 C1-C12, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화될 수 있으며; n은 1-3이고; 그리고 m은 1-3이다). 예: 1,2-디에톡시-1-네오-헥실디실란.
R1 n(O(O)CR2)4-nSi(여기서, R1은 독립적으로 H, C1-C12, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화될 수 있고; R2는 독립적으로 H, C1-C12, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화될 수 있으며; 그리고 n은 1-3이다). 예: 디아세톡시-네오-헥실실란.
R1 n(O(O)CR2)3-nSi-O-SiR3 m(O(O)CR4 )3-m(여기서, R1 및 R3은 독립적으로 H, C1-C12, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화될 수 있고; R2 및 R4는 독립적으로 H, C1-C12 , 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화될 수 있으며; n은 1-3이고; 그리고 m은 1-3이다). 예: 1,3-디아세톡시-1-네오-헥실디실록산.
R1 n(O(O)CR2)3-nSi-SiR3 m(O(O)CR4 )3-m(여기서, R1 및 R3은 독립적으로 H, C1-C12 , 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화될 수 있고; R2 및 R4는 독립적으로 H, C1-C12 , 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화될 수 있으며; n은 1-3이고; 그리고 m은 1-3이다). 예: 1,2-디아세톡시-1-네오-헥실디실란.
R1 n(O(O)CR2)3-nSi-O-SiR3 m(OR4 )3-m(여기서, R1 및 R3은 독립적으로 H, C1-C12 , 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화될 수 있고; R2는 독립적으로 H, C1-C12, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루 오르화될 수 있으며; R4는 독립적으로 C1-C12, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화될 수 있고; n은 1-3이며; 그리고 m은 1-3이다). 예: 1-아세톡시-3,3-디-t-부톡시-1-네오헥실디실록산.
R1 n(O(O)CR2)3-nSi-SiR3 m(OR4) 3-m(여기서, R1 및 R3은 독립적으로 H, C1-C12, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화될 수 있고; R2는 독립적으로 H, C1-C12, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화될 수 있으며; R4는 독립적으로 C1-C12, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화될 수 있고; n은 1-3이며; 그리고 m은 1-3이다). 예: 1-아세톡시-2,2-디-t-부톡시-1-네오헥실디실란.
R1 n(OR2)p(O(O)CR3)4-(n+p)Si(여기서, R1은 독립적으로 H, C1-C12, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화될 수 있고; R2는 독립적으로 C1-C12, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화될 수 있 으며; R3은 독립적으로 H, C1-C12, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화될 수 있고; n은 1-3이며; 그리고 p는 1-3이다). 예: 아세톡시-t-부톡시-네오-헥실실란.
R1 n(OR2)p(O(O)CR4)3-n-pSi-O-SiR3 m(O(O)CR5)q(OR6)3-m-q(여기서, R1 및 R3은 독립적으로 H, C1-C12, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화될 수 있고; R2 및 R6은 독립적으로 C1-C 12, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화될 수 있으며; R4 및 R5는 독립적으로 H, C1-C 12, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화될 수 있고; n은 1-3이며; m은 1-3이고; p는 1-3이며; 그리고 q는 1-3이다). 예: 1,3-디아세톡시-1,3-디-t-부톡시-1-네오헥실디실록산.
R1 n(OR2)p(O(O)CR4)3-n-pSi-SiR3 m(O(O)CR5)q(OR6)3-m-q(여기서, R1 및 R3은 독립적으로 H, C1-C12, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화될 수 있고; R2 및 R6은 독립적으로 C1-C 12, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화될 수 있으며; R4 및 R5는 독립적으로 H, C1-C12 , 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 방향족, 부분적으로 또는 전체적으로 플루오르화될 수 있고; n은 1-3이며; m은 1-3이고; p는 1-3이며; 그리고 q는 1-3이다). 예: 1,2-디아세톡시-1,2-디-t-부톡시-1-네오헥실디실란.
화학식 (OSiR1R3)x의 고리형 실록산(여기서, R1 및 R3 은 독립적으로 H, C1-C12, 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된, 고리형, 부분적으로 또는 전체적으로 플루오르화될 수 있고; x는 2-8의 어떤 정수일 수 있다). 예: 1-네오헥실-1,3,5,7-테트라메틸시클로테트라실록산.
전구체 혼합물은 규소-함유 포로젠화 전구체에 첨가하여 또는 이를 대신하여, 별개의 포로젠 전구체를 선택적으로 포함할 수 있다. 포로젠 전구체는 예를 들어, 3.0 또는 그 이하의 유전 상수를 가지는 다공성 OSG 필름의 제공을 위해, 혼합물에 첨가될 수 있다. 결과 증착된 필름은 다공성 OSG 필름의 제조를 위한 후-증착 처리에 의해 원한다면 제거될 수 있는 유기 중합체 상과 함께 OSG상을 가질 수 있다. 필름에 증착된 포로젠 전구체의 양은 규소-함유 전구체의 포로젠 전구체에 대한 비 및 증착 조건 둘 모두에 관계될 수 있다. 포로젠 전구체는 증착 후 필름으로부터 제거될 수 있는데, 예를 들어, 열 어닐링을 수행하면, 3.0 또는 그 이하의 유전 상수를 가지는 다공성 OSG 네트워크를 남기게 된다. 어떤 구체예에서, 어닐링 단계는 425℃의 온도에서 수행된다. 이러한 구체예에서, 포로젠 전구체를 필름에 결합시키기 위해서, 포로젠 전구체를 포함하는 혼합물의 증착 온도는 어닐링 온도 이하에서도 충분할 것이다. 이러한 필름에서, 증착 온도는 약 300℃ 또는 그 이하, 또는 약 280℃ 또는 그 이하이여야 한다. 혼합물에 첨가되는 포로젠 전구체의 양은 혼합물의 전체 부피에 대해 5~95 부피%의 범위일 수 있다. 제1 및 제2 규소-함유 전구체, 및 포로젠 전구체를 포함하는 혼합물의 예에는 트리에톡시실란, 디에톡시메틸실란, 및 알파-테르핀닌이 있다. 비대칭 규소-함유 전구체 및 포로젠 전구체를 포함하는 혼합물의 예에는 1-메틸-1,1,3,3,3-펜타에톡시디실록산 및 알파-테르핀닌이 있다.
이하는 혼합물에 첨가할 수 있는 포로젠 전구체의 예이나, 이로 한정되지는 않는다. 일반 화학식 CnH2n의 고리형 탄화수소(여기서, n은 4~14 범위의 수이고, 고리형 구조 내의 탄소 수는 4~10이며, 고리형 구조에 치환된 다수의 단순 또는 분지쇄 탄화수소가 있을 수 있다)(예에는 시클로헥산, 트리메틸시클로헥산, 1-메틸-4(1-메틸에틸)시클로헥산, 시클로옥탄, 메틸시클로옥탄 등이 포함된다); 일반 화학식 CnH(2n+2)-2y의 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된 탄화수소(여기서, n은 2~20 범위의 수이고, y는 0~n 범위의 수이다)(예에는 에틸렌, 프로필렌, 아세틸렌, 네오헥산 등이 포함된다); 일반 화학식 CnH2n-2x의 단일 또는 다중 불포화된 고리형 탄화수소(여기서, x는 분자내 불포화된 위치의 수이고, n은 4~14 범위의 수이며, 고리형 구조내의 탄소의 수는 4~10 범위이고, 고리형 구조에 치환된 다수의 단순 또는 분지쇄 탄화수소가 있을 수 있으며, 내부고리 내에 또는 고리형 구조의 탄화수소 치환체 중 하나에 불포화가 위치할 수 있다)(예에는 시클로헥센, 비닐시클로헥산, 디메틸시클로헥센, t-부틸시클로헥센, 알파-테르핀넨, 림모넨, 핀넨, 1,5-디메틸-1,5-시클로옥타디엔, 비닐-시클로헥센 등이 포함된다); 일반 화학식 CnH2n-2의 이중고리형 탄화수소(여기서, n은 4~14 범위의 수이고, 이중고리형 구조내의 탄소의 수는 4~12 범위이며, 고리형 구조에 치환된 다수의 단순 또는 분지쇄 탄화수소가 있을 수 있다)(예에는 노르보르난, 스피로-노난, 데카히드로나프탈렌 등이 포함된다); 일반 화학식 CnH2n-(2+2x)의 다중 불포화된 이중고리형 탄화수소(여기서, x는 분자내 불포화된 위치의 수이고, n은 4~14 범위의 수이며, 이중고리형 구조내의 탄소의 수는 4~12 범위이고, 고리형 구조에 치환된 다수의 단순 또는 분지쇄 탄화수소가 있을 수 있으며, 내부고리 내에 또는 고리형 구조의 탄화수소 치환체 중 하나에 불포화가 위치할 수 있다)(예에는 캄펜, 노르보르넨, 노르보르나디엔 등이 포함된다); 및 일반 화학식 CnH2n-4의 삼중고리형 탄화수소(여기서, n은 4~14 범위의 수이고, 삼중고리형 구조내의 탄소의 수는 4~12 범위이고, 고리형 구조에 치환된 다수의 단순 또는 분지쇄 탄화수소가 있을 수 있다)(예에는 아담만틴이 포함된다). 앞서 언급된 포로젠 전구체에 덧붙여, 증착 조건하에 증착될 수 있고, 하나 이상의 에너지원의 처리하에 소공 또는 공극을 형성할 수 있는 어떤 화합물들도 명세서에 언급된 혼합물에 사용될 수 있다. 다른 포로젠 전구체의 예에는 불안정한 유기기, 용매, 분해가능한 중합체, 계면활성제, 덴드리머, 고-분지쇄 중합체, 폴리옥시알킬렌 화합물, 및 그들의 조합물이 포함된다.
어떤 구체예에서, 증착의 적어도 한 부분동안, 산화제는 반응 챔버에 도입될 수 있다. 적절한 산화제의 예에는 O2, N2O, NO, NO2, H2O2 또는 오존이 포함된다.
일부 구체예에서, 증착 전, 증착 동안, 및/또는 증착 후, 첨가제 물질이 반응 챔버 및/또는 용기에 채워질 수 있다. 이러한 첨가제 물질은 예를 들어, 휘발성이 낮은 전구체의 경우에는 담체 가스로서 사용될 수 있으며, 그리고/또는 증착된 물질의 양생(curing)을 촉진하고, 더 안정적인 최종 필름을 제공하기 위해 사용될 수 있다. 첨가제 물질의 예에는 불활성 가스(예컨대, He, Ar, N2, Kr, Xe 등이 있으나 이로 제한되지는 않음), 또는 기체상 또는 액체상 유기 물질(예컨대, NH3, H2, CO2, 또는 CO가 있으나, 이로 제한되지는 않음)이 포함된다.
혼합물은 하나 이상의 전구체 또는 화학 시약을 공정 반응기에 전달하기 위해, 적당한 밸브 및 장치가 장착된 적어도 하나의 가압성 용기를 추가로 포함한다. 어떤 구체예에서, 용기(들)의 성분은 미리 혼합될 수 있다. 선택적으로, 보관 동안 전구체들을 분리해서 유지하기 위해, 분리된 용기에 또는 분리 장치를 가지는 단일 용기에 전구체를 유지할 수 있다. 이러한 용기들은 원한다면 전구체의 혼합을 위한 장치를 가질 수도 있다. 전구체는 미리 혼합되어 반응 챔버에 전달될 수 있으며, 또는 선택적으로, 분리되어 전달되고, 반응 챔버내에서 및/또는 증착된 필름 그 자체에서 혼합물이 형성될 수도 있다.
유기규산염 필름은 다양한 방법을 사용하여, 전구체의 혼합물로부터 적어도 한 부분의 기재로 증착된다. 이러한 방법은 그 자체로 또는 조합되어 사용될 수 있다. 유기규산염 필름을 형성하기 위해 사용될 수 있는 방법들의 몇가지 예에는 열 화학기상증착, 플라스마 강화 화학기상증착("PECVD"), 고밀도 PECVD, 광자 보조 CVD, 플라스마-광자 보조 CVD("PPECVD"), 극저온 CVD, 화학물질 보조 기상증착, 핫-필라멘트 CVD, 광 개시 CVD, 액체 중합체 전구체의 CVD, 초임계 유체로부터의 증착, 또는 운송 중합체화("TP")가 포함된다. 미국 특허 번호 6,171,945, 6,054,206, 6,054,379, 6,159,871 및 WO 99/41423은 본 발명의 유기규산염 필름을 형성하는데 사용될 수 있는 몇가지의 실제 CVD 방법을 제공한다. 어떤 구체예에서, 증착은 100~425℃, 또는 200~425℃, 또는 200~300℃ 범위의 온도에서 수행된다. 명세서에 사용된 화학 시약이 "기체상"이라고 서술될 수 있음에도 불구하고, 이는 화학적 시약이 반응기에 가스로 직접 전달되거나, 기화된 액체, 승화된 고체로 전달되거나, 그리고/또는 불활성 담체 가스로 반응기에 수송될 수 있음을 의미한다.
본 발명의 어떤 구체예에서, 유기규산염 필름은 플라스마-강화 화학기상증착 과정을 통해 형성된다. PECVD 과정에서는 간단히, 화학 시약이 진공 챔버와 같은 반응 챔버로 유입되며, 플라스마 에너지가 화학 시약에 작용하여 적어도 한 부분의 기재에 필름을 형성한다. 이러한 구체예에서, 유기규산염 필름은 적어도 하나의 규소-함유전구체(예를 들어, 유기규소 물질. 이는 소공-형성제 상을 형성하는 적어도 하나의 플라스마-중합성 포로젠 전구체로 구조-형성제 상을 형성한다)를 포함하는 기체상 혼합물의 동시-증착, 또는 선택적으로 순차적 증착에 의해 형성될 수 있다. 어떤 구체예에서, 시약에 공급되는 플라스마 에너지는 0.02~7 watts/cm2, 또는 0.3~3 watts/cm2 범위일 수 있다. 각각의 시약의 유속은 10~5000 sccm 범위일 수 있 다. 본 발명의 PECVD 과정의 증착 동안의 진공 챔버 내 압력 수치는 0.01~600 torr, 또는 1~10 torr 범위일 수 있다. 어떤 구체예에서, 증착은 100~425℃, 또는 200~425℃, 또는 200~300℃ 범위의 온도에서 수행된다. 플라스마 에너지, 유속, 및 압력과 같은 공정 인자들은 기재의 표면 면적, 형성되어질 구조-형성제 및 소공-형성제 상, PECVD 과정에 사용된 장비 등과 같은 여러 요인들에 따라 다양할 수 있다는 것을 인식해야 한다.
반응을 유도하고 기재 상에 OSG 필름을 형성하기 위해, 전구체 혼합물에 에너지가 공급된다. 이러한 에너지는 예컨대, 열, 플라스마, 펄스 플라스마, 헬리콘 플라스마, 고밀도 플라스마, 유도성 결합 플라스마, 및 원격 플라스마 방법으로 제공될 수 있다. 어떤 구체예에서, 제2 RF 주파수원이 기재 표면에 플라스마 특성을 변형하기 위해 사용될 수 있다.
특정 구체예에서, 필름은 플라스마 강화 화학기상증착에 의해 형성된다. 이러한 구체예에서, PEDVC는 13.56 MHZ의 주파수에서 용량성 결합 플라스마를 사용하여 수행된다. 플라스마 전력은 기재의 표면 넓이에 따라 0.02~7 watts/cm2, 또는 0.3~3 watts/cm2 범위일 수 있다. 플라스마 내의 전자 온도를 낮추기 위해 저이온화 에너지를 가지며, 차례로 혼합물 내의 규소-함유 전구체(들)의 적은 단편화를 초래하는, 담체 가스를 사용하는 것이 유리하다. 저이온화 에너지 담체 가스의 예에는 CO2, NH3, CO, CH4, Ar, Xe, Kr 또는 명세서에 서술된 다른 첨가제 물질 중 어떤 것이 포함된다.
혼합물 내의 시약 각각 또는 전구체 혼합물 그 자체의 유속은 단일 200 mm 웨이퍼당, 10~5000 sccm, 또는 30~1000 sccm 범위일 수 있다. 이들 개개의 유속은 필름 내 구조-형성제 및 소공-형성제의 바람직한 양의 제공을 위해 선택된다. 요구되는 실제 유속은 웨이퍼 크기 및 챔버 형태에 의존 할 수 있으며, 200 mm 웨이퍼 또는 단일 웨이퍼로 제한되는 것은 아니다.
어떤 구체예에서, OSG 필름은 적어도 50 nm/분의 증착률로 증착된다.
어떤 구체예에서, 증착 동안의 진공 챔버 내 압력은 0.01~600 torr, 또는 1~15 torr 범위일 수 있다.
필름의 두께가 요구되는바에 따라 다양할 수 있음에도 불구하고, 필름은 0.002~10 미크론 두께로 증착될 수 있다. 비-패턴화 표면 상에 증착된 블랭킷 필름은 뛰어난 균일성(합당한 모서리를 제외하고, 기재를 통해 표준편차 1인 2% 이하의 두께 차이를 가지는데, 예컨대, 기재의 가장 바깥 모서리 5 mm는 균일성의 통계적 계산에 포함되지 않는다)을 가진다. 포로젠 전구체가 혼합물에 첨가된 어떤 구체예에서, 포로젠 전구체는 양생 단계(열 어닐링, 화학물질 처리, 계내 또는 원격 플라스마 처리, 광경화, 및/또는 마이크로파처리를 포함할 수 있다)를 통해 예비(또는 증착된) 필름에서 제거된다. 기타 계내 또는 후-증착 처리는 경도, 안정성(수축, 공기 노출, 식각, 습윤 식각 등에 대한 안정성), 집적가능성, 균일성, 및 접착성과 같은 물질 성질을 강화시키기 위해 사용될 수 있다. 이러한 처리는 포로젠 제거에 사용된 수단과 동일한 수단 또는 상이한 수단을 사용하여, 포로젠 제거 전, 동안, 및/또는 후에, 필름에 적용될 수 있다. 그러므로, 명세서에서 사용된 용어 "처리" 는 포로젠을 제거하고, 필름을 안정화하고, 그리고/또는 물질 성질을 강화하기 위해, 에너지(열, 플라스마, 광자, 전자, 마이크로파 등) 또는 화학물질로 필름을 후-처리하는 것을 나타낸다.
후-처리가 수행되는 조건은 매우 다양할 수 있다. 예를 들어, 후-처리는 고압 또는 진공 환경하에서 수행될 수 있다.
어떤 구체예에서, OSG 필름은 어닐링 단계 처리한다. 이러한 구체예에서, 어닐링 단계는 이하의 조건하에 수행된다. 환경은 불활성화(예, 질소, CO2, 희가스(He, Ar, Ne, Kr, Xe) 등), 산화(예, 산소, 공기, 희석 산소 환경, 농축 산소 환경, 오존, 일산화질소 등), 또는 환원(희석 또는 농축 수소, 탄화수소(포화된, 불포화된, 직쇄 또는 분지쇄, 방향족) 등)일 수 있다. 압력은 약 1~1000 torr 범위 또는 대기압일 수 있다. 그러나, 진공 환경 또한 어떤 다른 후-처리 수단에서는 물론, 열 어닐링에서도 가능하다. 온도는 200~500℃ 범위일 수 있다. 온도 상승 속도는 0.1~100 ℃/분 범위일 수 있다. 온도는 상온~500℃ 범위일 수 있다. 압력은 10 mtorr~대기압 범위일 수 있다. 전체 처리 시간은 0.01분~12시간 범위일 수 있다.
어떤 구체예에서, OSG 필름은 하나 이상의 화학적 처리를 한다. 이러한 구체예에서, OSG 필름의 화학적 처리는 이하 조건하에 수행된다. 플루오르화(HF, SiF4, NF3, F2, COF2, CO2F2 등), 산화(H2O 2, O3 등), 화학적 건조, 메틸화, 또는 최종 물질의 성질을 강화시키는 다른 화학물질 처리의 사용. 이러한 처리에 사용된 화학물질 은 고체, 액체, 기체상 및/또는 초임계 유체 상태일 수 있다.
어떤 구체예에서, OSG 필름은 초임계 유체를 사용하는 후-처리한다. 이러한 구체예에서, 유기규산염 필름의 초임계 유체 후-처리는 이하 조건하에 수행된다. 이 유체는 이산화탄소, 물, 일산화질소, 에틸렌, SF6, 및/또는 화학물질의 다른 유형들일 수 있다. 이 과정을 강화하기 위해, 다른 화학물질들이 초임계 유체에 첨가될 수 있다. 이 화학물질은 불활성화(예, 질소, CO2, 희가스(He, Ar, Ne, Kr, Xe) 등), 산화(예, 산소, 오존, 일산화질소 등), 또는 환원(희석 또는 농축 탄화수소, 수소 등)일 수 있다. 이 화학물질은 또한 계면활성제를 포함할 수 있다. 온도는 상온~500℃ 범위일 수 있다. 압력은 10 mtorr~대기압 범위일 수 있다. 전체 처리 시간은 0.01분~12시간 범위일 수 있다.
어떤 구체예에서, OSG 필름은 불안정한 기 또는 포로젠 전구체의 선택적 제거, 및 OSG 필름의 가능한 화학적 변형을 위한, 플라스마 처리한다. 이러한 구체예에서, 플라스마 처리는 이하 조건하에 수행된다. 환경은 불활성화(예, 질소, CO2, 희가스(He, Ar, Ne, Kr, Xe) 등), 산화(예, 산소, 공기, 희석 산소 환경, 농축 산소 환경, 오존, 일산화질소 등), 환원(희석 또는 농축 수소, 탄화수소(포화된, 불포화된, 직쇄 또는 분지쇄, 방향족) 등), 또는 그들의 혼합물(예, CF4/H2, CF4/H2/N2)일 수 있다. 플라스마 전력은 0~5000 W 범위일 수 있다. 온도는 상온~500℃ 범위일 수 있다. 압력은 약 10 mtorr~대기압 범위일 수 있다. 전체 처리 시간은 0.01분~12시간 범위일 수 있다.
어떤 구체예에서, OSG 필름은 광경화시킨다. 이러한 구체예에서, 광경화는 이하 조건하에 수행된다. 환경은 불활성화(예, 질소, CO2, 희가스(He, Ar, Ne, Kr, Xe) 등), 산화(예, 산소, 공기, 희석 산소 환경, 농축 산소 환경, 오존, 일산화질소 등), 또는 환원(예, 희석 또는 농축 탄화수소, 수소 등)일 수 있다. 전력은 0~5000 W 범위일 수 있다. 파장은 IR, 가시선, UV 또는 딥 UV(파장<200 nm)일 수 있다. 온도는 상온~500℃ 범위일 수 있다. 압력은 10 mtorr~대기압 범위일 수 있다. 전체 처리 시간은 0.01분~12시간 범위일 수 있다.
어떤 구체예에서, OSG 필름은 마이크로파 후-처리한다. 이러한 구체예에서, 마이크로파 후-처리는 이하 조건하에 수행된다. 환경은 불활성화(예, 질소, CO2, 희가스(He, Ar, Ne, Kr, Xe) 등), 산화(예, 산소, 공기, 희석 산소 환경, 농축 산소 환경, 오존, 일산화질소 등), 또는 환원(희석 또는 농축 탄화수소, 수소 등)일 수 있다. 온도는 상온~500℃ 범위일 수 있다. 전력 및 파장은 다양하며, 특정 결합에 대해 조정될 수 있다. 전체 처리 시간은 0.01분~12시간 범위일 수 있다.
어떤 구체예에서, OSG 필름은 전자 빔 후-처리한다. 전자 빔 처리의 사용은 포로젠의 제거, 및 메트릭스 내 결합-형성 과정을 통한 필름의 기계적 성질의 강화를 위해 제공될 수 있다. 이러한 구체예에서, 전자 빔 후-처리는 이하 조건하에 수행된다. 환경은 진공, 불활성화(예, 질소, CO2, 희가스(He, Ar, Ne, Kr, Xe) 등), 산화(예, 산소, 공기, 희석 산소 환경, 농축 산소 환경, 오존, 일산화질소 등), 또는 환원(희석 또는 농축 탄화수소, 수소 등)일 수 있다. 온도는 상온~500℃ 범위일 수 있다. 전자 밀도 및 에너지는 다양할 수 있으며, 특정 결합에 대해 조정될 수 있다. 전체 처리 시간은 0.001분~12시간 범위일 수 있으며, 연속 또는 펄스될 수 있다. 전자 빔 처리의 예는 S. Chattopadhyay 등, Journal of Materials Science, 36(2001) 4323-4330; G. Kloster 등, Proceedings of IITC, June 3-5, 2002, SF, CA; 및 미국 특허 번호 6,207,555 B1, 6,204,201 B1 및 6,132,814 A1에 제공한다.
어떤 구체예에서, 명세서에 서술된 OSG 필름은 또한 플루오르를 포함할 수 있다. 이러한 구체예에서, 포함된 플루오르의 양은 0.5~7 원자% 범위일 수 있다. 명세서에 서술된 혼합물 내에 포함될 수 있는 플루오르-함유 전구체의 예에는 SiF4, NF3, F2, HF, SF6, ClF3, BF3, BrF3, SF4, NF2Cl, FSiH3, F2SiH2, F3SiH, 유기플루오로실란 및 그들의 혼합물이 포함된다. 플루오르-함유 전구체의 추가적인 예에는 상기 언급된 알킬실란, 알콕시실란, 직쇄 또는 고리형 유기실록산, 직쇄 또는 고리형 유기실란 중합체, 고리형 또는 분지쇄 유기실란, 및 플루오르-함유 측기를 가진 유기실란이 포함된다. 추가적으로, 적절한 플루오르-제공 전구체에는 플루오로트리메틸실란, 디플루오로디메틸실란, 메틸트리프루오로실란, 플루오로트리에톡시실란, 1,2-디플루오로-1,1,2,2-테트라메틸디실란, 또는 디플루오로디메톡시실란이 포함되나, 이로 제한되지는 않는다.
OSG 필름은 열 안정적이고, 좋은 화학물질 저항성을 나타낸다. 특히, 어닐링 후의 어떤 OSG 필름은 N2 하, 425℃에서 등온인, 1.0 중량 %/시간 이하의 평균 중량 손실을 가진다. 어떤 구체예에서, 필름은 대기하, 425℃에서 등온인, 1.0 중량 %/ 시간 이하의 평균 중량 손실을 나타낼 수 있다.
필름은 다양한 용도에 적합하다. 필름은 반도체 기재 상의 증착에 특히 적합하며, 예컨대, 절연층, 층간 유전층, 및/또는 금속간 유전층으로서의 사용에 특히 적합하다. 필름은 등각 코팅을 형성할 수 있다. 이러한 필름에 의해 나타나는 기계적 성질은 AI 감산 기술 및 Cu 상감 또는 이중 상감 기술의 사용에 특히 적합하게 만든다.
필름은 화학적 기계적 평탄화(CMP) 및 이방성 식각과 양립가능하며, 규소, SiO2, Si3N4, OSG, FSG, 탄화규소, 수소화된 탄화규소, 질화규소, 수소화된 질화규소, 차아질산탄화규소, 수소화된 차아질산탄화규소, 차아질산붕산, 항반사성 코팅, 감광액, 유기 중합체, 다공성 유기 및 무기 물질, 금속(예, 구리나 알루미늄), 및 확산 장애층(예로 TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, WN, 또는 W(C)N이 있으나, 이로 제한되지는 않음)과 같은 다양한 물질에 접착할 수 있다. 필름은 상기 물질 중 적어도 하나에 충분히 접착할 수 있어, ASTM D3359-95a 테이프 풀 테스트와 같은 전통적인 풀 테스트를 통과하기 충분하다. 만약 필름의 식별 가능한 제거가 없다면, 샘플은 이 테스트를 통과한 것으로 간주된다.
따라서, 어떤 구체예에서는, 필름은 절연층, 층간 유전층, 금속간 유전층, 캐핑층, 화학적-기계적 평탄화 또는 에치 정지 층, 장애층, 또는 집적회로 내의 접착층이다.
본 발명이 필름의 제공에 특히 적합하고, 본 발명의 생산품이 필름으로 명세서에서 폭넓게 서술되었음에도 불구하고, 본 발명이 그것으로 제한되는 것은 아니 다. 본 발명의 생산품은 CVD에 의해 증착될 수 있는 어떤 유형(예컨대, 코팅, 멀티라미나 어샘블리, 및 필수적으로 평면이거나 얇지 않은 물품들의 다른 유형들, 및 집적회로에 필수적으로 사용되지 않는 여러 물품들)을 제공할 수 있다.
본 발명은 본 발명은 이하의 실시예로 더 구체화되어 설명될 것이나, 본 발명을 이로 제한하려는 의도는 아니라는 것을 이해해야 할 것이다.
실시예
대표적인 OSG 필름을 여러 상이한 화학적 전구체 및 공정 조건에서, Advance Energy 200 RF 발생기가 장착된 200 mm DxZ 진공 챔버 내에서, Applied Materials Precision-5000 시스템을 사용하여 플라스마 강화 CVD 공정으로 형성하였다. 다른 부분에서 언급하지 않으면, 필름은 8" 높이, 저 저항력(R<0.02 ohm-cm) 규소 웨이퍼에 증착한 것이다. CVD 공정은 일반적으로 초기 셋업 및 가스 흐름의 안정화 단계, 증착 단계, 및 웨이퍼 제거 전 챔버의 정화/배출 단계의 기본적 단계를 포함한다. 각 필름의 두께 및 굴절률(RI)은 SCI Filmtek 2000 Reflectometer로 측정하였다. 각 필름의 유전 상수(k)는 저 저항력 p-유형 웨이퍼(R<0.02 ohm-cm)로 수은 탐침 전기용량 기술을 사용하여 결정하였다. 경도(H)와 같은 기계적 성질은 MTS Nano Indenter를 사용하여 나노인덴테이션으로 결정하였다. 고 저항력 기재(전형적으로, R>10 ohm-cm)에 증착된 샘플의 경우, 전도 FTIR 스펙트라는 4 cm-1 해상도에서, Thermo-Nicolet 750 Spectrophotometer로 회수하였다.
적용시, 열 후-증착 처리 또는 어닐링은 2~4 slpm 범위의 질소 유속을 가진 4" 직경 정화 석영 튜브가 장착된 Applied Test Systems, Inc. 시리즈 3210 튜브 노(furnace)에서 수행하였다. 온도 상승 속도는 25~425℃ 온도 범위의 경우, 13℃/분이었다. 샘플은 4시간 동안 425℃에서 등온으로 유지하였다. 필름을 100℃ 이하로 냉각한 후, 노로부터 제거하였다.
DEMS 및 DEMS/TES를 포함하는 전구체 혼합물들의 비교
OSG 필름을 다양한 양의 제1 규소-함유 전구체 트리에톡시실란(TES) 및 제2 규소-함유 전구체 디에톡시메틸실란(DEMS)을 포함하는 혼합물들로부터 PECVD를 통해 증착하였다. DEMS 및 TES 전구체를 두개의 분리된 액체 주입 라인을 사용하여 Applied Materials P5000의 DxZ 챔버로 전달하였다. PECVD 증착은 주입기 온도 100℃, 벽면 온도 65℃, 간격 300~400 mm, 압력 6~9 torr, 플라스마 전력 300~600 W의 조건에서 수행하였다. 어떤 실시예에서, PA는 후-증착 어닐링이 N2, 425℃ 하에서 4시간 동안 수행하였음을 나타낸다. XPS 데이타를 각 필름으로부터 회수하였고, 그 결과는 스퍼터 및 수소 배제 후의 원자 %를 제공하였다. 도 4는 200~220℃ 범위의 증착 온도에서, 다양한 양의 제1 규소-함유 전구체 TES 및 제2 규소-함유 전구체 DEMS를 포함하는 혼합물들의 증착을 통해 제조된 필름의 TES %와 유전 상수간의 상관관계를 도시한다. 또는 비교예 2, 실시예 1, 실시예 2, 실시예 4a, 실시예 5, 및 비교예 4의 TES %와 유전 상수간의 상관관계를 도시한다.
표 I: DEMS/TES 증착
실행 % TES(몰) % DEMS(몰) 척 온도 (℃) H(GPa) RI k XPS C/Si 비
비교예 1a 0 100 150 0.31 1.449 3.04 C 31 O 39 Si 30 1.03
비교예 1b(PA) 0 100 150 0.32 1.423 2.81 C 26 O 41 Si 33 0.79
비교예 2 0 100 390 3.0 1.405 3.0 C 14.4 O 50.1 Si 35.5 0.41
비교예 3 0 100 200 - - 2.99 - -
비교예 4 100 0 203 - 1.414 3.65 - -
실시예 1 10 90 200 - 1.430 3.00 - -
실시예 2 25 75 222 - 1.420 3.05 - -
실시예 3 50 50 200 - 1.403 3.28 - -
실시예 4a 50 50 218 1.07 1.423 3.22 C 16.5 O 47.6 Si 35.9 0.46
실시예 4b(PA) 50 50 218 1.89 3.40 C 10.6 O 51.4 Si 38.0 0.28
실시예 5 75 25 207 - 1.422 3.57 - -
실시예 6 50 50 200 - 1.403 3.28 - -
실시예 7 25 75 260 1.36 1.424 3.05 C 19.6 O 43.9 Si 36.5 0.46
실시예 8a 50 50 260 1.76 1.417 3.17 C 15.1 O 47.5 Si 37.4 0.40
실시예 8b(PA) 50 50 260 2.06 - 3.13 C 12.9 O 49.1 Si 38.0 0.34
실시예 9 75 25 260 - 1.420 3.47 - -
-
실시예 10 25 75 425 - 1.441 3.29 - -
실시예 11a 50 50 425 3.49 1.432 3.42 C 9.6 O 51.5 Si 38.9 0.25
실시예 11b(PA) 50 50 425 4.23 - 3.43 C 8.1 O 53.2 Si 38.4 0.21
표 I에 제공된 데이타는 다양한 상이한 상관관계를 나타낸다. 첫째로, 제1 규소-함유 전구체 또는 TES의 %가 증가함에 따라, 유전 상수가 증가하였다(이는 부분적으로는 필름 내의 유기 치환체의 감소로 인한 것일 수 있다). 더욱이, 도 4는 혼합물 내의 Si 원자의 50%가 메틸기로 치환되면 유전 상수가 13% 감소하는 결과를 초래하는 반면, Si 원자의 전부가 메틸기(예, 100% DEMS)로 치환되도 유전 상수는 추가적으로 단지 6%만이 감소하는 결과를 초래하한다는 것을 나타낸다. 이는 실리카-기초 네트워크에 유기기를 첨가하는 것이 유전 상수에 미치는 이익이 Si 원자의 약 50~75%가 유기기로 치환된 후에는 감소한다는 것을 보여준다. 둘째로, 50% TES 증착(XPS)의 탄소 함량은 항상 20 원자% 아래로 유지된다.
도 5는 1275 cm-1에서 Si-C 스트레치로 정규화된 비교예 1a 및 실시예 3 샘플의 FTIR을 제공한다. 50% TES를 포함한 실시예 3 필름의 Si-O 결합 강도(1100 cm-1)는 TES를 포함하지 않은 비교예 1a의 Si-O 결합 강도의 거의 2배이며, 그때문에, 실시예 3 필름이 더 많은 Si-O 교차결합 및 상응하는 저 메틸 함량을 가질 수있다는 것을 나타낸다.
DEMS 및 ATRP 및 DEMS/TES 및 ATRP을 포함하는 전구체 혼합물들의 비교
다공성 OSG 필름을 제2 규소-함유 전구체 DEMS 및 포로젠 전구체 알파-테르핀넨(ATRP)을 포함하는 혼합물, 및 제1 및 제2 규소-함유 전구체, 또는 TES 및 DEMS, 및 ATRP를 포함하는 혼합물로부터 제조하였다. 표 II에 나타난 모든 데이타의 경우, 모든 실시예들은 질소 대기하에 425℃에서 4시간 동안 후-어닐링 처리하였다. 표 II는 결과 필름의 유전 상수, 경도, 탄소의 원자 중량 %, 및 C/Si 비에 따른 증착에 대한 공정 조건을 제공한다. 표 II에 참고하여, 비교예 5 및 6 필름은 TES를 포함하지 않으며, 실시예 12 및 실시예 13 필름보다 높은 탄소 함량을 가진다. 더욱이, 필름의 경도는 TES의 양이 증가함에 따라 증가하였다.
표 II: TES/DEMS/ATRP 증착
실시예 12 비교예 5 실시예 13 비교예 6
K 2.54 2.38 2.34 2.18
H, GPa 1.33 0.31 0.57 0.25
척 온도, ℃ 265 250 265 250
플라스마 전력, W 650 600 650 600
간격 300 350 300 350
압력, torr 12 8 10 8
담체, CO2(sccm) 325 220 225 220
TES. mg/분 150 0 100 0
TES, sccm 22 0 18 0
DEMS, mg/분 150 158 100 105
DEMS, sccm 22 26 18 18
ATRP, mg/분 1000 367 800 420
ATRP, sccm 210 62 168 70
중량 % TES 15 0 10 0
중량 % DEMS 15 30 10 20
중량 % ATRP 70 70 80 80
% C 16 25 19 26
% Si 36 32 36 31
% O 48 43 45 43
최종 필름내의 C/Si 비 0.45 0.77 0.53 0.83
도 6은 다양한 비교예 DEMS 필름 및 다양한 플라스마 전력, 간격, 담체 가스, 및 압력에서 증착된 제1 규소-함유 전구체 TES 및 제2 규소-함유 전구체 DEMS를 포함하는 혼합물로부터 증착된 필름의 유전 상수(k) 대 경도(H)의 플랏을 나타낸다. 이 데이타 점들은 250~300℃ 사이의 온도를 가지는 카비트로, 증착 조건에 관계없이 특정 유전 상수에서 가장 높은 경도 수치를 제공하는 필름을 나타낸다. 표 III은 이러한 필름의 조성을 제공한다. 표 III에 참고하여, 일부 샘플은 실시예 14 및 비교예 14~21을 제외하고, 첨가된 포로젠 전구체 ATRP를 가지며, 필름은 질 소 대기하에 425℃에서 4시간 동안 후-처리 어닐링하였다. 도 6은 TES가 첨가된 증착물이 동일한 유전 상수를 가지는 필름보다 더 높은 경도 수치를 가짐을 나타낸다.
표 III
실시예 TES(몰 %) DEMS(몰 %) ATRP(몰 %) K H(GPa)
비교예 7 0 20 80 2.2 0.37
비교예 8 0 34 66 2.21 0.26
비교예 9 0 30 70 2.42 0.38
비교예 10 0 30 70 2.4 0.3
비교예 11 0 30 70 2.35 0.38
비교예 12 0 21 79 2.32 0.41
비교예 13 0 21 79 2.56 0.78
비교예 14 0 100 0 2.9 1.3
비교예 15 0 100 0 2.84 1.1
비교예 16 0 100 0 3.12 0.91
비교예 17 0 100 0 2.99 0.64
비교예 18 0 100 0 3.07 0.82
비교예 19 0 100 0 2.99 0.71
비교예 20 0 100 0 3.03 1
비교예 21 0 100 0 3.16 1.58
실시예 14 50 50 0 3.17 1.76
실시예 15 50 50 0 3.13 2.06
실시예 16 16.5 16.5 67 2.57 0.96
실시예 17 13 13 74 2.54 1.33
실시예 18 16.5 16.5 67 2.61 1.25
실시예 19 11 11 78 2.34 0.57
실시예 20 13 13 74 2.51 0.85
실시예 21 13 13 74 2.25 0.58
실시예 22 13 13 74 2.49 0.84
실시예 23 13 13 74 2.62 1.3
본 발명은 혼합물 내에 메틸기와 같은 유기기의 양을 조정함으로써 강화된 기계적 성질을 가지는, 저 유전 상수 유기규산염(OSG) 필름을 제조하기 위한 화학기상증착 방법을 제공한다.

Claims (37)

  1. Si 원자당 3~4개의 Si-O 결합, Si 원자당 0~1개의 Si-H, Si-Br, 또는 Si-Cl 결합으로 구성된 군에서 선택된 결합, 및 0개의 Si-C 결합을 포함하는 제1 규소-함유 전구체, 및 Si 원자당 적어도 1개의 Si-C 결합을 포함하는 제2 규소-함유 전구체를 포함하는 혼합물을 제공하는 제공 단계;
    기재를 포함하는 반응 챔버에 혼합물을 도입하는 도입 단계; 및
    반응을 유도하고, 기재 상에 유기규산염 유리 필름을 증착하기 위해 반응 챔버 내의 혼합물에 에너지를 공급하는 공급 단계
    를 포함하는, 4.0 또는 그 이하의 유전 상수를 가지는 유기규산염 유리 필름의 제조 방법.
  2. 제1항에 있어서, 유기규산염 유리 필름을 열에너지, 플라스마 에너지, 광자 에너지, 전자 에너지, 마이크로파 에너지, 및 화학 처리로 구성되는 군에서 선택된 적어도 하나의 후-처리제로 처리하는 처리 단계를 추가적으로 포함하는 것인 유기규산염 유리 필름의 제조 방법.
  3. 제2항에 있어서, 처리 단계는 공급 단계의 적어도 한 부분 동안 수행하는 것인 유기규산염 유리 필름의 제조 방법.
  4. 제2항에 있어서, 처리 단계는 공급 단계의 완결 후 수행하는 것인 유기규산염 유리 필름의 제조 방법.
  5. 제1항에 있어서, 포로젠 전구체를 혼합물에 첨가하는 첨가 단계를 추가적으로 포함하는 것인 유기규산염 유리 필름의 제조 방법.
  6. 제5항에 있어서, 포로젠 전구체는
    (a) 고리형 구조 및 화학식 CnH2n을 가지는 적어도 하나의 고리형 탄화수소( 여기서, n은 4~14 범위의 수이고, 고리형 구조 내의 탄소 수는 4~10 범위이며, 적어도 하나의 고리형 탄화수소가 고리형 구조에 치환된 다수의 단일 또는 분지쇄 탄화수소를 선택적으로 포함한다);
    (b) 일반 화학식 CnH(2n+2)-2y을 가지는 적어도 하나의 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된 탄화수소(여기서, n은 2~20 범위의 수이고, y는 0~n의 범위의 수이다);
    (c) 고리형 구조 및 화학식 CnH2n-2x을 가지는 적어도 하나의 단일 또는 다중 불포화된 고리형 탄화수소(여기서, x는 불포화된 위치의 수이고, n은 4~14 범위의 수이며, 고리형 구조내의 탄소의 수는 4~10 범위이고, 적어도 하나의 단일 또는 다중 불포화된 고리형 탄화수소가 고리형 구조에 치환된 다수의 단일 또는 분지쇄 탄화수소 치환체를 선택적으로 포함하며, 탄화수소 치환체 중 하나에 내부고리형 불포화 또는 불포화를 포함한다);
    (d) 이중고리형 구조 및 화학식 CnH2n-2을 가지는 적어도 하나의 이중고리형 탄화수소(여기서, n은 4~14 범위의 수이고, 이중고리형 구조내의 탄소의 수는 4~12 범위의 수이며, 적어도 하나의 이중고리형 탄화수소가 이중고리형 구조에 치환된 다수의 단일 또는 분지쇄 탄화수소를 선택적으로 포함한다);
    (e) 이중고리형 구조 및 화학식 CnH2n-(2+2x)을 가지는 적어도 하나의 다중 불포화된 이중고리형 탄화수소(여기서, x는 불포화된 위치의 수이고, n은 4~14 범위의 수이며, 이중고리형 구조내의 탄소의 수는 4~12 범위이고, 적어도 하나의 다중 불포화된 이중고리형 탄화수소가 이중고리형 구조에 치환된 다수의 단일 또는 분지쇄 탄화수소 치환체를 선택적으로 포함하며, 탄화수소 치환체 중 하나에 내부고리형 불포화 또는 불포화를 포함한다); 및
    (f) 삼중고리형 구조 및 화학식 CnH2n-4을 가지는 적어도 하나의 삼중고리형 탄화수소(여기서, n은 4~14 범위의 수이고, 삼중고리형 구조내의 탄소의 수는 4~12 범위이며, 적어도 하나의 삼중고리형 탄화수소가 고리형 구조에 치환된 다수의 단일 또는 분지쇄 탄화수소를 선택적으로 포함한다)
    에서 선택된 것인 유기규산염 유리 필름의 제조 방법.
  7. 제6항에 있어서, 포로젠 전구체가 알파-테르핀넨, 리몬넨, 시클로헥산, 감마-테르핀넨, 캄펜, 디메틸헥사디엔, 에틸벤젠, 노르보르나디엔, 시클로펜텐 옥시드, 1,2,4-트리메틸시클로헥산, 1,5-디메틸-1,5-시클로옥타디엔, 아다만탄, 1,3-부타디엔, 치환된 디엔, 및 데카히드로나프텔렌에서 선택된 적어도 하나의 것인 유기규산염 유리 필름의 제조 방법.
  8. 제1항에 있어서, 혼합물이 제1 및 제2 규소-함유 전구체를 포함하는 혼합물 (i)를 포함하는 것인 유기규산염 유리 필름의 제조 방법.
  9. 제8항에 있어서, 제1 규소-함유 전구체가 화학식 HSi(OR1)3(여기서, OR1은 독립적으로 OH, C1-C8 직쇄 또는 분지쇄 알콕시, 또는 아세테이트기이다) 및 Si(OR2 )4(여기서, OR2는 독립적으로 OH, C1-C8 직쇄 또는 분지쇄 알콕시, 또는 아세테이트기이다)로 표현되는 화합물들에서 선택된 적어도 하나의 화합물인 것인 유기규산염 유리 필름의 제조 방법.
  10. 제9항에 있어서, 제1 규소-함유 전구체가 트리에톡시실란, 트리(tert)부톡시실란, 트리메톡시실란, 트리(tert)부톡시실라놀, 트리아세톡시실란, 테트라(tert)부톡시실란, 테트라에톡시실란, 테트라메톡시실란, 및 테트라아세톡시실란에서 선 택된 적어도 하나의 것인 유기규산염 유리 필름의 제조 방법.
  11. 제10항에 있어서, 제1 규소-함유 전구체가 트리에톡시실란인 것인 유기규산염 유리 필름의 제조 방법.
  12. 제8항에 있어서, 제2 규소-함유 전구체가 적어도 하나의 Si-C 결합 및 선택적으로 Si-O, O-H, C-O, Si-C, C-H, Si-Si, Si-F, 및 C-F 결합에서 선택된 적어도 하나의 결합을 포함하는 유기실란인 것인 유기규산염 유리 필름의 제조 방법.
  13. 제12항에 있어서, 제2 규소-함유 전구체가 디에톡시메틸실란, 디메톡시메틸실란, 디(tert)부톡시메틸실란, 메틸트리아세테이톡시실란, 디메틸아세테이톡시실란, 디메틸디아세톡시실란, 디메틸디메톡시실란, 디메틸디에톡시실란, 메톡실트리에톡시실란, 네오헥실트리에톡시실란, 네오펜틸트리메톡시실란, 디아세톡시메틸실란, 페닐디메톡시실란, 페닐디에톡시실란, 페닐트리에톡시실란, 페닐트리메톡시실란, 페닐메틸디메톡시실란, 1,3,5,7-테트라메틸테트라시클로실록산, 옥타메틸테트라시클로실록산, 1,1,3,3-테트라메틸디실록산, 1-네오헥실-1,3,5,7-테트라메틸시클로테트라실록산, 헥사메틸디실록산, 1,3-디메틸-1-아세톡시-3-에톡시디실록산, 1,2-디메틸-1,2-디아세톡시-1,2-디에톡시디실란, 1,3-디메틸-1,3-디에톡시디실록산, 1,3-디메틸-1,3-디아세톡시디실록산, 1,2-디메틸-1,1,2,2-테트라아세톡시디실란, 1,2-디메틸-1,1,2,2-테트라에톡시디실란, 1,3-디메틸-1-아세톡시-3-에톡시디실 록산, 1,2-디메틸-1-아세톡시-2-에톡시디실란, 메틸아세톡시(tert)부톡시실란, 메틸실란, 디메틸실란, 트리메틸실란, 테트라메틸실란, 헥사메틸디실란, 테트라메틸디실란, 및 디메틸디실란에서 선택된 적어도 하나의 것인 유기규산염 유리 필름의 제조 방법.
  14. 제13항에 있어서, 제2 규소-함유 전구체가 디에톡시메틸실란인 것인 유기규산염 유리 필름의 제조 방법.
  15. 삭제
  16. 삭제
  17. 삭제
  18. 제1항에 있어서, 공급 단계에서의 에너지는 열, 광자, 전자, 플라스마, 마이크로파, 및 화학 에너지에서 선택된 적어도 하나의 것인 유기규산염 유리 필름의 제조 방법.
  19. 제1항에 있어서, 적어도 하나의 산화제가 공급 단계의 적어도 한 부분 동안 존재하는 것인 유기규산염 유리 필름의 제조 방법.
  20. 제1항에 있어서, 반응 챔버에 공급 단계 동안 적어도 하나의 산화제가 존재하지 않는 것인 유기규산염 유리 필름의 제조 방법.
  21. 제1항에 있어서, 적어도 하나의 첨가제가 공급 단계의 적어도 한 부분 동안 반응 챔버 내에 존재하는 것인 유기규산염 유리 필름의 제조 방법.
  22. 제21항에 있어서, 적어도 하나의 첨가제가 He, Ar, N2, Kr, Xe, NH3, H2, CO2 및 CO에서 선택된 것인 유기규산염 유리 필름의 제조 방법.
  23. 제1항의 유기규산염 유리 필름의 제조 방법에 의해 제조된 유기규산염 필름.
  24. 제23항에 있어서, 유기규산염 필름이 Si-O, C-H, Si-C, 및 Si-H 결합을 포함하는 것인 유기규산염 필름.
  25. 제24항에 있어서, 유기규산염 필름이 C-O, C=O, C-C, C=C, Si-F, C-F, 및 O-H에서 선택된 적어도 하나의 결합을 포함하는 것인 유기규산염 필름.
  26. 기재 및 Si 원자당 3~4개의 Si-O 결합, Si 원자당 0~1개의 Si-H, Si-Br, 및 Si-Cl 결합으로 구성된 군에서 선택된 결합, 및 0개의 Si-C 결합을 포함하는 제1 규소-함유 전구체; Si 원자당 적어도 1개의 Si-C 결합을 포함하는 제2 규소-함유 전구체; 및 포로젠 전구체를 포함하는 혼합물을 플라스마 강화 화학기상증착 반응 챔버내에 제공하는 제공 단계;
    반응을 유도하고, 기재 상에 필름을 증착하기 위해 반응 챔버 내에 있는 혼합물에 에너지를 공급하는 공급 단계; 및
    포로젠 전구체의 적어도 한 부분을 제거하고, 다공성 유기규산염 유리 필름을 제공하기 위해, 열, 광자, 전자, 플라스마, 마이크로파, 및 화학 에너지에서 선택된 적어도 하나의 에너지원으로 증착된 필름을 처리하는 처리 단계
    를 포함하는 3.0 또는 그 이하의 유전 상수, 및 1 또는 그 이하의 C/Si 비를 가지는 다공성 유기규산염 유리 필름의 제조 방법.
  27. 제26항에 있어서, 혼합물이 Si-C 결합의 Si 원자에 대한 비가 1 이하인 다공성 유기규산염 유리 필름의 제조 방법.
  28. 제26항에 있어서, 공급 단계의 적어도 한 부분 동안, O2, N2O, NO, NO2, H2O2 및 오존에서 선택된 적어도 하나의 산화제가 반응 챔버에 도입되는 것인 다공성 유기규산염 유리 필름의 제조 방법.
  29. 제26항의 다공성 유기규산염 유리 필름의 제조 방법에 의해 제조된 유기규산염 필름.
  30. 기재 및 포로젠 전구체를 포함하는 혼합물을 플라스마 강화 화학기상증착 반응 챔버내에 제공하는 제공 단계;
    반응을 유도하고, 기재 상에 필름을 증착하기 위해 반응 챔버 내에 있는 혼합물에 에너지를 공급하는 공급 단계; 및
    포로젠 전구체의 적어도 한 부분을 제거하고, 다공성 유기규산염 유리 필름을 제공하기 위해, 열, 광자, 전자, 플라스마, 마이크로파, 및 화학 에너지에서 선택된 적어도 하나의 에너지원으로 증착된 필름을 처리하는 처리 단계
    를 포함하는 3.0 또는 그 이하의 유전 상수, 및 1 또는 그 이하의 C/Si 비를 가지는 다공성 유기규산염 유리 필름의 제조 방법.
  31. 제30항에 있어서, 공급 단계의 적어도 한 부분 동안, O2, N2O, NO, NO2, H2O2 또는 오존에서 선택된 적어도 하나의 산화제가 반응 챔버에 도입되는 것인 다공성 유기규산염 유리 필름의 제조 방법.
  32. Si 원자당 3~4개의 Si-O 결합, Si 원자당 0~1개의 Si-H, Si-Br, 및 Si-Cl 결합으로 구성된 군에서 선택된 결합, 및 0개의 Si-C 결합을 포함하는 제1 규소-함유 전구체(여기서, 제1 규소-함유 전구체의 양은 혼합물 내의 전체 규소-함유 전구체 양에 대해 적어도 10 몰%이다);
    적어도 1개의 Si-C 결합을 포함하는 제2 규소-함유 전구체(여기서, 제2 규소-함유 전구체의 양은 혼합물 내의 전체 규소-함유 전구체 양에 대해 적어도 10 몰%이다); 및
    포로젠 전구체
    를 포함하는 3.0 또는 그 이하의 유전 상수를 가지는 다공성 유기규산염 유리 필름을 제조하기 위한 혼합물.
  33. 제32항에 있어서, 혼합물이 반응 챔버로 도입되면서 형성되는 것인 다공성 유기규산염 유리 필름을 제조하기 위한 혼합물.
  34. 제32항에 있어서, 혼합물이 반응 챔버로 도입되기 전 형성되는 것인 다공성 유기규산염 유리 필름을 제조하기 위한 혼합물.
  35. 제32항의 다공성 유기규산염 유리 필름을 제조하기 위한 혼합물을 포함하는 용기.
  36. 제32항에 있어서, 포로젠 전구체는
    (a) 고리형 구조 및 화학식 CnH2n을 가지는 적어도 하나의 고리형 탄화수소( 여기서, n은 4~14 범위의 수이고, 고리형 구조 내의 탄소 수는 4~10 범위이며, 적어도 하나의 고리형 탄화수소가 고리형 구조에 치환된 다수의 단일 또는 분지쇄 탄화수소를 선택적으로 포함한다);
    (b) 일반 화학식 CnH(2n+2)-2y을 가지는 적어도 하나의 직쇄 또는 분지쇄, 포화된, 단일 또는 다중 불포화된 탄화수소(여기서, n은 2~20 범위의 수이고, y는 0~n 범위의 수이다);
    (c) 고리형 구조 및 화학식 CnH2n-2x을 가지는 적어도 하나의 단일 또는 다중 불포화된 고리형 탄화수소(여기서, x는 불포화된 위치의 수이고, n은 4~14 범위의 수이며, 고리형 구조내의 탄소의 수는 4~10 범위이고, 적어도 하나의 단일 또는 다중 불포화된 고리형 탄화수소가 고리형 구조에 치환된 다수의 단일 또는 분지쇄 탄화수소 치환체를 선택적으로 포함하며, 탄화수소 치환체 중 하나에 내부고리형 불포화 또는 불포화를 포함한다);
    (d) 이중고리형 구조 및 화학식 CnH2n-2을 가지는 적어도 하나의 이중고리형 탄화수소(여기서, n은 4~14 범위의 수이고, 이중고리형 구조내의 탄소의 수는 4~12 범위의 수이며, 적어도 하나의 이중고리형 탄화수소가 이중고리형 구조에 치환된 다수의 단일 또는 분지쇄 탄화수소를 선택적으로 포함한다);
    (e) 이중고리형 구조 및 화학식 CnH2n-(2+2x)을 가지는 적어도 하나의 다중 불포화된 이중고리형 탄화수소(여기서, x는 불포화된 위치의 수이고, n은 4~14 범위의 수이며, 이중고리형 구조내의 탄소의 수는 4~12 범위이고, 적어도 하나의 다중 불포화된 이중고리형 탄화수소가 이중고리형 구조에 치환된 다수의 단일 또는 분지쇄 탄화수소 치환체를 선택적으로 포함하며, 탄화수소 치환체 중 하나에 내부고리형 불포화 또는 불포화를 포함한다); 및
    (f) 삼중고리형 구조 및 화학식 CnH2n-4을 가지는 적어도 하나의 삼중고리형 탄화수소(여기서, n은 4~14 범위의 수이고, 삼중고리형 구조내의 탄소의 수는 4~12 범위이며, 적어도 하나의 삼중고리형 탄화수소가 고리형 구조에 치환된 다수의 단일 또는 분지쇄 탄화수소를 선택적으로 포함한다)
    에서 선택된 적어도 하나의 것인 다공성 유기규산염 유리 필름을 제조하기 위한 혼합물.
  37. 제36항에 있어서, 포로젠 전구체가 알파-테르핀넨, 리몬넨, 시클로헥산, 감마-테르핀넨, 캄펜, 디메틸헥사디엔, 에틸벤젠, 노르보르나디엔, 시클로펜텐 옥시드, 1,2,4-트리메틸시클로헥산, 1,5-디메틸-1,5-시클로옥타디엔, 아다만탄, 1,3-부타디엔, 치환된 디엔, 및 데카히드로나프텔렌에서 선택된 적어도 하나의 것인 다공성 유기규산염 유리 필름을 제조하기 위한 혼합물.
KR1020040038616A 2003-05-29 2004-05-29 저 유전 필름을 위한 기계적 강화제 첨가제 KR100602469B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US47426603P 2003-05-29 2003-05-29
US60/474266 2003-05-29
US10/842503 2004-05-11
US10/842,503 US8137764B2 (en) 2003-05-29 2004-05-11 Mechanical enhancer additives for low dielectric films

Publications (2)

Publication Number Publication Date
KR20040104402A KR20040104402A (ko) 2004-12-10
KR100602469B1 true KR100602469B1 (ko) 2006-07-19

Family

ID=33135334

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040038616A KR100602469B1 (ko) 2003-05-29 2004-05-29 저 유전 필름을 위한 기계적 강화제 첨가제

Country Status (6)

Country Link
US (1) US8137764B2 (ko)
EP (2) EP2261390A3 (ko)
JP (1) JP4216768B2 (ko)
KR (1) KR100602469B1 (ko)
CN (1) CN1311097C (ko)
TW (1) TWI250221B (ko)

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080268177A1 (en) * 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
US7288292B2 (en) * 2003-03-18 2007-10-30 International Business Machines Corporation Ultra low k (ULK) SiCOH film and method
WO2005053009A1 (ja) * 2003-11-28 2005-06-09 Nec Corporation 多孔質絶縁膜及びその製造方法並びに多孔質絶縁膜を用いた半導体装置
US7049247B2 (en) 2004-05-03 2006-05-23 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US7581549B2 (en) * 2004-07-23 2009-09-01 Air Products And Chemicals, Inc. Method for removing carbon-containing residues from a substrate
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
JP4408816B2 (ja) * 2005-01-07 2010-02-03 富士通株式会社 半導体装置の製造方法
US8513448B2 (en) 2005-01-31 2013-08-20 Tosoh Corporation Cyclic siloxane compound, a material for forming Si-containing film, and its use
CN101111501B (zh) 2005-01-31 2015-07-15 东曹株式会社 环状硅氧烷化合物、含硅膜形成材料及其用途
US7425350B2 (en) * 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
JP4628257B2 (ja) * 2005-11-15 2011-02-09 三井化学株式会社 多孔質膜の形成方法
US20070123059A1 (en) * 2005-11-29 2007-05-31 Haverty Michael G Methods of internal stress reduction in dielectric films with chemical incorporation and structures formed thereby
WO2007113104A1 (en) * 2006-03-31 2007-10-11 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Novel pore-forming precursors composition and porous dielectric layers obtained there from
FR2899379B1 (fr) * 2006-03-31 2008-06-06 Air Liquide Nouveaux precurseurs porogenes et couches dielectriques poreuses obtenues a partir de ceux-ci
US8399349B2 (en) 2006-04-18 2013-03-19 Air Products And Chemicals, Inc. Materials and methods of forming controlled void
US20070287849A1 (en) 2006-06-13 2007-12-13 Air Products And Chemicals, Inc. Low-Impurity Organosilicon Product As Precursor For CVD
WO2008020592A1 (fr) * 2006-08-15 2008-02-21 Jsr Corporation Matériau filmogène, film isolant contenant du silicium et procédé de formation de celui-ci
DE102006046553A1 (de) * 2006-09-28 2008-04-03 Innovent E.V. Verfahren zum Aufbringen silikatischer Schichten unter Normaldruck
US20080141901A1 (en) * 2006-12-18 2008-06-19 American Air Liquide, Inc. Additives to stabilize cyclotetrasiloxane and its derivatives
US20090061649A1 (en) 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
US20090096106A1 (en) * 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings
US8987039B2 (en) * 2007-10-12 2015-03-24 Air Products And Chemicals, Inc. Antireflective coatings for photovoltaic applications
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
JP5316743B2 (ja) * 2007-11-01 2013-10-16 Jsr株式会社 ケイ素含有膜形成用組成物およびケイ素含有絶縁膜の形成方法
KR100962044B1 (ko) * 2007-12-06 2010-06-08 성균관대학교산학협력단 저유전 플라즈마 중합체 박막 및 그 제조 방법
JP5141885B2 (ja) * 2008-02-13 2013-02-13 Jsr株式会社 ケイ素含有絶縁膜およびその形成方法
JP2009260333A (ja) * 2008-03-26 2009-11-05 Meidensha Corp 酸化膜改質方法とその装置及びプロセス装置
JPWO2009119583A1 (ja) * 2008-03-26 2011-07-28 Jsr株式会社 化学気相成長法用材料ならびにケイ素含有絶縁膜およびその製造方法
US20100015816A1 (en) * 2008-07-15 2010-01-21 Kelvin Chan Methods to promote adhesion between barrier layer and porous low-k film deposited from multiple liquid precursors
US8932674B2 (en) 2010-02-17 2015-01-13 American Air Liquide, Inc. Vapor deposition methods of SiCOH low-k films
DE102010054858C5 (de) 2010-12-17 2024-04-11 Interpane Entwicklungs- Und Beratungsgesellschaft Mbh Verfahren und Vorrichtung zur Herstellung einer reflexionsmindernden Beschichtung
US8889567B2 (en) * 2011-09-16 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and methods for low K dielectric layers
CN104008997A (zh) * 2014-06-04 2014-08-27 复旦大学 一种超低介电常数绝缘薄膜及其制备方法
CN104164660B (zh) * 2014-08-26 2016-09-28 复旦大学 一种低介电常数多孔SiOCNH薄膜及其制备方法
KR102624608B1 (ko) 2016-01-19 2024-01-16 삼성전자주식회사 저유전막의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
US10468244B2 (en) * 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US11017998B2 (en) 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US10692755B2 (en) * 2018-10-24 2020-06-23 International Business Machines Corporation Selective deposition of dielectrics on ultra-low k dielectrics
JP7465287B2 (ja) 2019-06-08 2024-04-10 アプライド マテリアルズ インコーポレイテッド 自己形成バリア層を備えた低誘電率誘電体
JP2023521607A (ja) 2020-03-31 2023-05-25 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 高い弾性率を有する膜を堆積するための新規な前駆体

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4098835B2 (ja) * 1993-12-07 2008-06-11 トヨタ自動車株式会社 排気ガス浄化用触媒
MY113904A (en) * 1995-05-08 2002-06-29 Electron Vision Corp Method for curing spin-on-glass film utilizing electron beam radiation
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
GB9801359D0 (en) 1998-01-23 1998-03-18 Poulton Limited Methods and apparatus for treating a substrate
US6383955B1 (en) * 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6514880B2 (en) * 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6287990B1 (en) * 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6054206A (en) * 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6171945B1 (en) 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6430628B1 (en) * 1998-12-08 2002-08-06 Intel Corporation Method and apparatus for reducing direct memory access transfers using smart coalescing
US6207555B1 (en) * 1999-03-17 2001-03-27 Electron Vision Corporation Electron beam process during dual damascene processing
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6204201B1 (en) * 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6589889B2 (en) * 1999-09-09 2003-07-08 Alliedsignal Inc. Contact planarization using nanoporous silica materials
US6316063B1 (en) * 1999-12-15 2001-11-13 Intel Corporation Method for preparing carbon doped oxide insulating layers
JP3348084B2 (ja) * 1999-12-28 2002-11-20 キヤノン販売株式会社 成膜方法及び半導体装置
US6331494B1 (en) * 1999-12-30 2001-12-18 Novellus Systems, Inc. Deposition of low dielectric constant thin film without use of an oxidizer
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
JP3615979B2 (ja) * 2000-01-18 2005-02-02 株式会社ルネサステクノロジ 半導体装置及びその製造方法
EP1123991A3 (en) * 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
JP2001274153A (ja) 2000-03-24 2001-10-05 Hitachi Kokusai Electric Inc 絶縁膜の製造方法
US6440874B1 (en) * 2000-03-24 2002-08-27 Advanced Micro Devices, Inc. High throughput plasma resist strip process for temperature sensitive applications
EP1150346B1 (en) * 2000-04-28 2011-12-28 LG Chem Investment, Ltd A process for preparing insulating material having low dielectric constant
JP3934343B2 (ja) * 2000-07-12 2007-06-20 キヤノンマーケティングジャパン株式会社 半導体装置及びその製造方法
US6448186B1 (en) * 2000-10-06 2002-09-10 Novellus Systems, Inc. Method and apparatus for use of hydrogen and silanes in plasma
US6441491B1 (en) * 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6790789B2 (en) * 2000-10-25 2004-09-14 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
EP1352107A2 (en) 2000-10-25 2003-10-15 International Business Machines Corporation An ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device, a method for fabricating the same, and an electronic device containing the same
US6340628B1 (en) * 2000-12-12 2002-01-22 Novellus Systems, Inc. Method to deposit SiOCH films with dielectric constant below 3.0
SG98468A1 (en) 2001-01-17 2003-09-19 Air Prod & Chem Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US20020172766A1 (en) * 2001-03-17 2002-11-21 Laxman Ravi K. Low dielectric constant thin films and chemical vapor deposition method of making same
TW540118B (en) 2001-03-28 2003-07-01 United Microelectronics Corp Method for increasing the surface wetability of low dielectric constant material
US20020142104A1 (en) * 2001-03-28 2002-10-03 Applied Materials, Inc. Plasma treatment of organosilicate layers
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US6632735B2 (en) * 2001-08-07 2003-10-14 Applied Materials, Inc. Method of depositing low dielectric constant carbon doped silicon oxide
US7384471B2 (en) 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7288292B2 (en) 2003-03-18 2007-10-30 International Business Machines Corporation Ultra low k (ULK) SiCOH film and method
JP4344841B2 (ja) 2003-05-30 2009-10-14 独立行政法人産業技術総合研究所 低誘電率絶縁膜の形成方法
KR100554157B1 (ko) 2003-08-21 2006-02-22 학교법인 포항공과대학교 저유전 특성의 유기 실리케이트 고분자 복합체
US20050048795A1 (en) * 2003-08-27 2005-03-03 Chung-Chi Ko Method for ultra low-K dielectric deposition
US7446055B2 (en) * 2005-03-17 2008-11-04 Air Products And Chemicals, Inc. Aerosol misted deposition of low dielectric organosilicate films

Also Published As

Publication number Publication date
JP2005019980A (ja) 2005-01-20
TW200426239A (en) 2004-12-01
EP2261390A3 (en) 2012-06-13
CN1311097C (zh) 2007-04-18
TWI250221B (en) 2006-03-01
JP4216768B2 (ja) 2009-01-28
US20040241463A1 (en) 2004-12-02
EP1482070A1 (en) 2004-12-01
KR20040104402A (ko) 2004-12-10
US8137764B2 (en) 2012-03-20
CN1576390A (zh) 2005-02-09
EP1482070B1 (en) 2015-11-11
EP2261390A2 (en) 2010-12-15

Similar Documents

Publication Publication Date Title
KR100602469B1 (ko) 저 유전 필름을 위한 기계적 강화제 첨가제
KR101912534B1 (ko) 포로겐, 포로겐화 전구체, 및 이들을 사용하여 낮은 유전 상수를 갖는 다공성 유기실리카 유리 필름을 제공하는 방법
KR102183028B1 (ko) 알킬-알콕시실라사이클릭 화합물 및 이를 사용하여 필름을 증착시키는 방법
JP3881282B2 (ja) 低誘電率材料およびcvdによる処理方法
KR100642618B1 (ko) 다공성의 저 유전율 조성물 및 이를 제조하고 사용하는방법
KR100767246B1 (ko) 화학 증착 필름의 침착 속도를 강화시키는 방법
JP4897505B2 (ja) 低誘電率の多孔質有機シリカガラス膜を得るための化学蒸着方法
JP5711176B2 (ja) 組成物
KR100577059B1 (ko) 저 유전 상수 재료 및 cvd에 의한 처리 방법
US11158498B2 (en) Silicon compounds and methods for depositing films using same
JP2022153428A (ja) アルコキシシラ環式又はアシルオキシシラ環式化合物及びそれを使用してフィルムを堆積させるための方法
CN109722648B (zh) 硅杂环状化合物和使用其沉积含硅膜的方法
KR102373339B1 (ko) 규소 화합물 및 이를 사용하여 막을 증착시키는 방법
CN110952074B (zh) 硅化合物和使用硅化合物沉积膜的方法
US20190244810A1 (en) Use of Silicon Structure Former with Organic Substituted Hardening Additive Compounds for Dense OSG Films
KR20220160071A (ko) 고 탄성 계수를 갖는 막들을 증착하기 위한 신규한 전구체들
KR20240009497A (ko) 높은 탄성 계수를 갖는 필름의 증착을 위한 신규한 전구체

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130628

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140627

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150630

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee