KR100432330B1 - 반도체 및 초전도체 막의 에칭에 있어서 포토레지스트의플라즈마 경화 방법 - Google Patents

반도체 및 초전도체 막의 에칭에 있어서 포토레지스트의플라즈마 경화 방법 Download PDF

Info

Publication number
KR100432330B1
KR100432330B1 KR10-2001-0054309A KR20010054309A KR100432330B1 KR 100432330 B1 KR100432330 B1 KR 100432330B1 KR 20010054309 A KR20010054309 A KR 20010054309A KR 100432330 B1 KR100432330 B1 KR 100432330B1
Authority
KR
South Korea
Prior art keywords
photoresist
baking
plasma
exposing
etching
Prior art date
Application number
KR10-2001-0054309A
Other languages
English (en)
Other versions
KR20020023113A (ko
Inventor
라피엔. 엘마드지안
Original Assignee
티알더블류 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 티알더블류 인코포레이티드 filed Critical 티알더블류 인코포레이티드
Publication of KR20020023113A publication Critical patent/KR20020023113A/ko
Application granted granted Critical
Publication of KR100432330B1 publication Critical patent/KR100432330B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/912Differential etching apparatus having a vertical tube reactor

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Superconductor Devices And Manufacturing Methods Thereof (AREA)

Abstract

플라즈마 포토레지스트 경화 기술은 포토레지스트 마스크(26)의 에칭 저항을 증가시키기 위해 제공된다. 상기 기술은, 포토레지스트 물질(26a)의 에칭율을 실질적으로 떨어뜨리는 포토레지스트 마스크(26) 상의 얇은 패시베이션 층(26b)의 형성을 포함한다. 유리하게도, 이러한 기술은, 비아 홀 통로 및 송신 라인과 같은 임계 크기 특성의 보존을 허용한다. 상기 기술은, 가교된 포토레지스트 중합체와 할로겐화된 탄화수소를 화학적 및 물리적으로 결합시킴으로써 포토레지스트 막(26)의 표면을 경화시킨다. 이것은 가혹한 플라즈마 에칭 환경에 내구성이 높은 패시베이션 층(26b)을 초래한다.

Description

반도체 및 초전도체 막의 에칭에 있어서 포토레지스트의 플라즈마 경화 방법{METHOD FOR PLASMA HARDENING PHOTORESIST IN ETCHING OF SEMICONDUCTOR AND SUPERCONDUCTOR FILMS}
본 발명은 일반적으로 에칭(etching) 기술에 관한 것으로, 더 구체적으로, 포토레지스트 마스크(photoresist masks)의 에칭 저항을 증가시키기 위한 방법에 관한 것이다.
포토레지스트 마스크는, 에칭 과정 동안 기저 물질에 비아 홀(via holes)과 같은 작은 형상(features)의 형성을 가능하게 하는데 사용된다. 마이크로전자 디바이스가 복잡성(complexity)에서 증가함에 따라, 상기 형상의 크기는 더욱 더 작아지게 된다. 종래 기술을 사용하면, 높은 종횡비(aspect ratio)의 형상은 플라즈마 건식 에칭(plasma dry etching) 및/또는 습식(wet) 화학적 에칭 과정을 사용하여 에칭하는데 상대적으로 힘들다.
플라즈마 건식 에칭에 관해, 포토레지스트가 부식되어, 비아 홀 통로(openings)와 같은 마스크 형상은 크기가 커진다. 더욱이, 라인의 폭은 크기 면에서 축소된다. 습식 화학적 에칭 동안, 포토레지스트의 언더컷(undercuts)때문에, 왜곡된 회로(distorted circuit)의 형상을 초래한다. 고밀도 회로(예컨대, VLSI 또는 ULSI)에서, 형상의 크기에서의 이러한 변화로 인해, 과도하게-에칭된(over-etched) 송신 라인의 폭으로 실제 회로 성능을 예견하는 것이 더욱 어려워진다.
고집적(densely packed) 회로의 설계(layouts)에 대한 추가 문제는, 밀접하게 이격된 비아 홀 통로의 과도한 에칭때문에 비아 홀 통로가 서로 겹치게(overlap) 된다는 점이다. 이것은 회로의 신뢰도에 대한 문제를 초래한다. 그러한 회로의 신뢰도에 대한 문제는 비아 홀의 교차로 인한 인덕턴스에서의 변화를 포함한다.
전술한 문제를 해결하려는 노력으로, 대류식 가스 오븐(convection oven)에서 또는 가열판(hot plate) 상에서 포토레지스트의 하드 베이킹(hard baking)과, 두꺼운(deep) 자외선 경화(curing)와 같은 기술이 사용되어 왔다. 유감스럽게도, 이러한 기술은 상기 문제에 충분한 해결책을 제공하지 않았다. 예를 들어, 종래의하드 베이킹 및 두꺼운 자외선 경화 방법은 포토레지스트 중합체를 간단히 가교(cross-link)하는데, 이는 상기 포토레지스트가 이후의 가혹한 플라즈마 에치 환경에 내구성이 높도록 하지 않는다. 두꺼운 자외선 방법은 처리 비용을 증가시키는 특정 기기를 또한 필요로 한다. 자외선 방법은 자외선 방사 위험을 과정 작업 환경에 또한 제공할 수도 있다.
전술한 점 때문에, 에칭 처리를 향상시키기 위한 신기술이 필요하다.
플라즈마 포토레지스트 경화 기술은 포토레지스트 마스크의 에칭 저항을 증가시키기 위해 제공된다. 상기 기술은, 포토레지스트 물질의 에칭율(etching rate)을 실질적으로 떨어뜨리는 포토레지스트 마스크 상의 얇은 패시베이션(passivation) 층의 형성을 포함한다. 유리하게도, 이러한 기술은, 비아 홀 통로 및 송신 라인과 같은 임계 크기 형상(critical dimension features)의 보존을 허용한다. 본 발명의 일실시예에서, 상기 기술은, 가교된 포토레지스트 중합체에 할로겐화된 탄화 수소를 화학적 및 물리적으로 결합(bonding)시킴으로써 포토레지스트 막의 표면을 경화시킨다. 이것은 가혹한 플라즈마 에칭 환경에 대해 내구성이 높은 패시베이션 층을 초래한다.
본 발명의 장점 및 목적을 달성하는 방식을 인식하기 위해, 본 발명의 더 구체적인 설명이, 첨부 도면에 도시된 본 발명의 특정한 실시예를 참조함으로써 이루어질 것이다. 이들 도면이 단지 본 발명의 바람직한 실시예를 도시하므로, 그 범주 내에 한정되는 것으로 고려되지 않는다는 것을 이해함에 따라, 본 발명은, 첨부 도면의 사용을 통해 추가 특성 및 세부 사항에 대해 기술되고 설명될 것이다.
도 1은 본 발명의 공정을 도시한 흐름도.
도 2는 본 발명에 따라 제조된 웨이퍼의 개략적인 측면도.
<도면 주요 부분에 대한 부호의 설명>
26: 포토레지스트(포토레지스트 마스크, 포토레지스트 막으로도 칭함)
26a: 포토레지스트 물질 26b: 패시베이션 층
본 발명은, 포토레지스트 마스크의 에칭 저항을 증가시키는 방법에 관한 것이다. 본 발명의 가르침에 따라, 패시베이션 층은, 가혹한 플라즈마 에칭 환경에 내구성이 높은 포토레지스트 상에서 형성된다. 유리하게도, 이 방법은 더 작은 비아 홀 통로 및 더 좁은 송신 라인으로 하여금 에칭되도록 함으로써 웨이퍼 처리를 향상시킨다. 이것은, 비아 홀 및/또는 송신 라인으로 하여금 웨이퍼 마스크 상에서 서로 더 밀접하게 이격되도록 한다. 더 좁은 이격은, 더 복잡한 회로로 하여금 더 작은 웨이퍼 영역 상에 집적되도록 하여, 고집적 회로 설계를 가능하게 한다. 또한, 본 발명의 방법은, 반도체 물질을 에칭하는데 사용되는 것과 동일한 플라즈마 에칭 챔버(chamber)에서 편리하게 수행될 수 있다.
이제 도 1을 다시 참조하면, 본 발명의 가르침에 따라 포토레지스트 마스크를 플라즈마 경화시키는 방법이 설명될 것이다. 이 공정(methodology)은 버블(bubble)(10)에서 시작하여 블록(12)으로 나아간다. 블록(12)에서, 패턴화된 양성 포토레지스트가 얻어진다. 상기 포토레지스트는 예를 들어 R-CxHyOz-R을 포함할 수 있다. 공정은 블록(12)에서 블록(14)으로 나아간다.
블록(14)에서, 패턴화된 양성 포토레지스트는 고온(elevated temperature)에서 베이킹된다. 베이킹 온도는 약 100℃와 200℃ 사이인 것이 바람직하고, 베이킹은 대류식 가스 오븐 또는 가열판 상에서 수행된다. 이러한 베이킹은, 중합체를 가교함으로써 포토레지스트를 물리적으로 경화시킨다. 공정은 블록(14)에서 블록(16)으로 나아간다.
블록(16)에서, 가교된 포토레지스트의 표면은 가스 플라즈마에 노출(exposed)된다. 가스 플라즈마는 CxHyFzClw 및 산소(O2)를 포함하는 것이 바람직한데, 여기서 x, y, z 및 w는 약 0에서부터 40까지의 범위를 가질 수 있고, 더 바람직하게는 약 0에서부터 25까지의 범위를 가질 수 있다. 가스 노출은, 반응식-이온-에처(reactive-ion-etcher) 또는 플라즈마 챔버 시스템에서 발생하고, 약 50 내지 1500W의 RF 전력, 더 바람직하게는 약 50 내지 1000W의 RF 전력으로 발생하는 것이 바람직하다. 반응식-이온-에처이외에, 적합한 플라즈마 챔버 시스템의 예는 배럴(barrel), 다운스트림(downstream), 헥소드(hexode), ICP, ECR, 메리(Merie), 및 TCP 유형을 포함한다.
가스 플라즈마에 노출할 동안, CxHyFzClw 및 산소는, 반응성 양이온 및 음 이온, 라디컬(radicals) 및 중성 물질(neutrals)을 포함하는 다양한 종으로 분리된다(disassociated). 이러한 종은 포토레지스트에 조사되고, 그런 후에 포토레지스트의 표면으로 흡수/확산된다. 그 다음에, 이 종은, 두께가 약 200Å에서부터 약 500Å까지의 범위인 할로겐화된 분자막(들)(monolayer) 또는 막(불소/염소 원자 또는 분자를 포함하는)을 형성하도록 화학적으로 반응하고, 물리적으로 결합된다. 방법은 블록(16)에서 블록(18)으로 나아간다.
블록(18)에서, 블록(16)으로부터 "플라즈마-경화된" 표면을 갖는 포토레지스트는 고온으로 즉시 베이킹된다. 베이킹 온도는 약 100℃와 200℃ 사이인 것이 바람직하고, 베이킹은 대류식 가스 오븐에서 또는 가열판 상에서 수행된다. 블록(16)에서의 가스 플라즈마 노출 단계에 후속적인 베이킹 단계는, 얇은 패시베이션 층과 가교된 포토레지스트의 표면 사이에 물리적 및/또는 화학적 결합을 강화시킨다.
공정은 블록(18)에서 버블(20)로 나아가고, 종료한다.
이제 도 2를 다시 참조하면, 상기 방법에 따라 형성된 장치는 일반적으로 22로 도시된다. 장치(22)는 웨이퍼(24) 상에 형성된 양성 패턴화된 포토레지스트(26)를 갖는 웨이퍼(24)를 포함하는데, 상기 양성 패턴화된 포토레지스트(26)는 포토레지스트 물질(26a) 및 패시베이션 층(26b)을 포함한다. 패시베이션 층(26b)은 전술한 방법을 사용하여 형성된다.
포토레지스트(26)의 패시베이션 층(26b)은, 반도체 또는 초전도체 막을 에칭하기 위해 종래의 가스를 사용하는 플라즈마 에칭 환경에서 활성적인 화학적 또는 물리적 반응을 억제한다. 따라서, 에칭되는 물질에 대한 포토레지스트(26)의 에치 선택성은 실질적으로 증가되고, 그 결과, 형상의 임계 크기는 마스크로부터 에치 이후(after-etch)까지 보존되고/제어된다. 이것은 디바이스 성능, 수율, 및 신뢰도를 실질적으로 증가시킨다.
본 발명이, 높은 종횡비의 비아 홀 및 송신 라인과 같은 작은 크기의 회로 형상을 필요로 하는 많은 응용에서 유용성을 발견할지라도, 실리콘, 비소화 갈륨 (gallium arsenide), 인화 인듐(indium phosphide), 니오븀(niobium), 질화 니오븀, 실리콘 게르마늄, 질화 갈륨(gallium nitride), 및 비소화 인듐 알루미늄과 같은 반도체 및 초전도체 물질 양쪽의 마이크로 전자 칩 제작에 특히 매우 적합할 수 있다. 그러한 물질은 개선된 웨이퍼 처리/제작에 일반적으로 사용된다.
상술한 바와 같이, 본 발명은 일반적으로 에칭 기술, 더 구체적으로, 포토레지스트 마스크의 에칭 저항을 증가시키기 위한 방법에 효과적이다.

Claims (10)

  1. 증가된 에칭 저항을 위한 패터닝된 포토레지스트의 처리 방법으로서,
    상기 패터닝된 포토레지스트(photoresist)를 베이킹(baking)하는 단계와,
    상기 베이킹된 포토레지스트를, CxHyFzClw 및 O2를 포함하는 가스 플라즈마에 노출(exposing)시킴으로써 상기 베이킹된 포토레지스트 상에 패시베이션 층을 형성시키는 단계와,
    상기 노출된 포토레지스트를 재-베이킹(re-baking)하는 단계
    를 포함하는, 증가된 에칭 저항을 위한 포토레지스트의 처리 방법.
  2. 제 1항에 있어서, 상기 포토레지스트는 R-CxHyOz-R을 더 포함하는, 증가된 에칭 저항을 위한 포토레지스트의 처리 방법.
  3. 삭제
  4. 제 1항에 있어서, x, y, z 및 w는 약 0과 약 40 사이에 있는, 증가된 에칭 저항을 위한 포토레지스트의 처리 방법.
  5. 제 1항에 있어서, 상기 노출 단계는 약 50부터 약 1500W까지의 RF 전력에서 노출하는 것을 더 포함하는, 증가된 에칭 저항을 위한 포토레지스트의 처리 방법.
  6. 제 1항에 있어서, 상기 노출 단계는 반응식-이온-에처(reactive-ion-etcher) 및 플라즈마 챔버 중 하나에서 노출하는 것을 더 포함하는, 증가된 에칭 저항을 위한 포토레지스트의 처리 방법.
  7. 제 1항에 있어서, 상기 베이킹 및 재 베이킹 단계는 각각 약 100부터 약 200℃까지의 온도에서의 베이킹을 포함하는, 증가된 에칭 저항을 위한 포토레지스트의 처리 방법.
  8. 제 1항에 있어서,
    상기 베이킹 단계는 상기 포토레지스트의 중합체의 가교(cross-linking)를 달성하고,
    상기 노출 단계는, CxHyFzClw 및 산소를 포함하는 가스 플라즈마를 반응성 양이온 및 음이온, 라디칼(radicals), 및 중성 물질(neutrals)을 포함하는 복수의 종(species)으로 분리하는 단계(disassociating)와, 상기 종이, 상기 포토레지스트의 상기 가교된 중합체로 흡수되고 확산되는 것 중에 적어도 하나가 되도록, 상기 종으로 상기 포토레지스트의 상기 가교된 중합체에 조사하는(bombarding) 단계를 포함하는, 증가된 에칭 저항을 위한 포토레지스트의 처리 방법.
  9. 제 8항에 있어서, 상기 조사 단계는, 상기 포토레지스트의 나머지에 할로겐화된(halogenated) 분자막들(monolayers)을 형성하기 위해 상기 종들과 상기 포토레지스트의 상기 가교된 중합체를 화학적으로 반응시키고, 물리적으로 결합(bonding)시키는 단계를 더 포함하는, 증가된 에칭 저항을 위한 포토레지스트의 처리 방법.
  10. 제 9항에 있어서, 상기 분자막들은 두께가 약 200Å과 약 500Å 사이인, 증가된 에칭 저항을 위한 포토레지스트의 처리 방법.
KR10-2001-0054309A 2000-09-21 2001-09-05 반도체 및 초전도체 막의 에칭에 있어서 포토레지스트의플라즈마 경화 방법 KR100432330B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/668,250 2000-09-21
US09/668,250 US6660646B1 (en) 2000-09-21 2000-09-21 Method for plasma hardening photoresist in etching of semiconductor and superconductor films

Publications (2)

Publication Number Publication Date
KR20020023113A KR20020023113A (ko) 2002-03-28
KR100432330B1 true KR100432330B1 (ko) 2004-05-22

Family

ID=24681589

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-0054309A KR100432330B1 (ko) 2000-09-21 2001-09-05 반도체 및 초전도체 막의 에칭에 있어서 포토레지스트의플라즈마 경화 방법

Country Status (4)

Country Link
US (1) US6660646B1 (ko)
EP (1) EP1195797A3 (ko)
JP (1) JP3749683B2 (ko)
KR (1) KR100432330B1 (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003140362A (ja) * 2001-11-02 2003-05-14 Mitsubishi Electric Corp レジストパターンの強化方法
US20040192058A1 (en) * 2003-03-28 2004-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Pre-etching plasma treatment to form dual damascene with improved profile
JP2005011793A (ja) * 2003-05-29 2005-01-13 Sony Corp 積層構造の製造方法および積層構造、表示素子ならびに表示装置
US8187483B2 (en) * 2006-08-11 2012-05-29 Jason Plumhoff Method to minimize CD etch bias
KR100867123B1 (ko) 2007-04-03 2008-11-06 삼성전자주식회사 반도체소자의 식각방법
US20090043646A1 (en) * 2007-08-06 2009-02-12 International Business Machines Corporation System and Method for the Automated Capture and Clustering of User Activities
JP5193121B2 (ja) * 2009-04-17 2013-05-08 東京エレクトロン株式会社 レジスト塗布現像方法
US8586472B2 (en) * 2010-07-14 2013-11-19 Infineon Technologies Ag Conductive lines and pads and method of manufacturing thereof
US8450212B2 (en) 2011-06-28 2013-05-28 International Business Machines Corporation Method of reducing critical dimension process bias differences between narrow and wide damascene wires
US9379327B1 (en) 2014-12-16 2016-06-28 Carbonics Inc. Photolithography based fabrication of 3D structures

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19980028539A (ko) * 1996-10-23 1998-07-15 문정환 이온 주입기의 도즈에러 방지장치
JPH10261571A (ja) * 1997-03-19 1998-09-29 Hitachi Ltd パターン形成方法
KR20000015407A (ko) * 1998-08-28 2000-03-15 조장연 질화갈륨계 반도체박막을 건식 식각하기 위한 유도결합형플라즈마 식각공정방법 및 그 장치
JP2000221698A (ja) * 1999-01-29 2000-08-11 Sony Corp 電子装置の製造方法
KR20010070534A (ko) * 2001-02-26 2001-07-25 정명세 초전도 소자의 포토리소그라피 방법

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5215867A (en) * 1983-09-16 1993-06-01 At&T Bell Laboratories Method with gas functionalized plasma developed layer
US4529621A (en) * 1983-10-05 1985-07-16 Utah Computer Industries, Inc. Process for depositing a thin-film layer of magnetic material onto an insulative dielectric layer of a semiconductor substrate
US4532005A (en) * 1984-05-21 1985-07-30 At&T Bell Laboratories Device lithography using multi-level resist systems
JPS62281331A (ja) * 1986-05-29 1987-12-07 Fujitsu Ltd エツチング方法
US4873176A (en) * 1987-08-28 1989-10-10 Shipley Company Inc. Reticulation resistant photoresist coating
US4904866A (en) * 1988-11-17 1990-02-27 Applied Electron Corporation Wide area soft vacuum abnormal glow electron beam discharge hardening process
JP2528962B2 (ja) * 1989-02-27 1996-08-28 株式会社日立製作所 試料処理方法及び装置
EP0431971B1 (en) * 1989-12-07 1995-07-19 Kabushiki Kaisha Toshiba Photosensitive composition and resin-encapsulated semiconductor device
US5037506A (en) * 1990-09-06 1991-08-06 Subhash Gupta Method of stripping layers of organic materials
US5407787A (en) * 1993-04-14 1995-04-18 The United States Of America As Represented By The Secretary Of The Navy Process to fabricate thick coplanar microwave electrode structures
CA2157257C (en) * 1994-09-12 1999-08-10 Kazuhiko Endo Semiconductor device with amorphous carbon layer and method of fabricating the same
US5926689A (en) * 1995-12-19 1999-07-20 International Business Machines Corporation Process for reducing circuit damage during PECVD in single wafer PECVD system
US6071670A (en) * 1996-10-11 2000-06-06 Kabushiki Kaisha Toshiba Transparent resin, photosensitive composition, and method of forming a pattern
US5876897A (en) * 1997-03-07 1999-03-02 Clariant Finance (Bvi) Limited Positive photoresists containing novel photoactive compounds
US6034752A (en) * 1997-03-22 2000-03-07 Kent Displays Incorporated Display device reflecting visible and infrared radiation
KR20010005944A (ko) * 1997-04-03 2001-01-15 캠벨 존 에스 우수한 유전 강도와 낮은 유전율을 가진 물질
US6121154A (en) * 1997-12-23 2000-09-19 Lam Research Corporation Techniques for etching with a photoresist mask
JP3003657B2 (ja) * 1997-12-24 2000-01-31 日本電気株式会社 半導体装置の製造方法
US6183940B1 (en) * 1998-03-17 2001-02-06 Integrated Device Technology, Inc. Method of retaining the integrity of a photoresist pattern
KR100533402B1 (ko) * 1998-04-14 2005-12-02 후지 샤신 필름 가부시기가이샤 포지티브 감광성 조성물
JP3267271B2 (ja) * 1998-12-10 2002-03-18 日本電気株式会社 液晶表示装置およびその製造法
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6346489B1 (en) * 1999-09-02 2002-02-12 Applied Materials, Inc. Precleaning process for metal plug that minimizes damage to low-κ dielectric

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19980028539A (ko) * 1996-10-23 1998-07-15 문정환 이온 주입기의 도즈에러 방지장치
JPH10261571A (ja) * 1997-03-19 1998-09-29 Hitachi Ltd パターン形成方法
KR20000015407A (ko) * 1998-08-28 2000-03-15 조장연 질화갈륨계 반도체박막을 건식 식각하기 위한 유도결합형플라즈마 식각공정방법 및 그 장치
JP2000221698A (ja) * 1999-01-29 2000-08-11 Sony Corp 電子装置の製造方法
KR20010070534A (ko) * 2001-02-26 2001-07-25 정명세 초전도 소자의 포토리소그라피 방법

Also Published As

Publication number Publication date
KR20020023113A (ko) 2002-03-28
US6660646B1 (en) 2003-12-09
JP3749683B2 (ja) 2006-03-01
EP1195797A3 (en) 2004-03-03
JP2002139849A (ja) 2002-05-17
EP1195797A2 (en) 2002-04-10

Similar Documents

Publication Publication Date Title
EP0167854B1 (en) Intermediate layer material of three-layer resist system and method of forming resist pattern
US6720256B1 (en) Method of dual damascene patterning
KR0170557B1 (ko) 애싱과 에칭을 포함한 반도체장치의 제조방법
US5126289A (en) Semiconductor lithography methods using an arc of organic material
US4241165A (en) Plasma development process for photoresist
US8293430B2 (en) Method for etching a molybdenum layer suitable for photomask fabrication
US20050277289A1 (en) Line edge roughness reduction for trench etch
US7531102B2 (en) Simultaneous selective polymer deposition and etch pitch doubling for sub 50nm line/space patterning
US6039888A (en) Method of etching an organic anti-reflective coating
KR20030086998A (ko) 유기질 저유전율 물질 에칭을 위한 독자적 방법
KR100432330B1 (ko) 반도체 및 초전도체 막의 에칭에 있어서 포토레지스트의플라즈마 경화 방법
US20070122753A1 (en) Method for manufacturing semiconductor device
JP2741168B2 (ja) シリコン基またはゲルマニウム基が含有されたフォトレジスト除去方法
CN103247525A (zh) 用于蚀刻有机硬掩膜的方法
US20070143721A1 (en) System and method for plasma induced modification and improvement of critical dimension uniformity
US6969683B2 (en) Method of preventing resist poisoning in dual damascene structures
KR19990013545A (ko) 배선 형성 방법
KR20030020439A (ko) 반도체 애플리케이션내의 nh3 플라즈마 디스커밍 및레지스트 박리
KR100645835B1 (ko) 반도체 소자의 감광막패턴 형성 방법
JPH10303183A (ja) パターンの形成方法
KR19980015733A (ko) 레지스트 패턴 형성방법
KR100551075B1 (ko) 침수 리소그래피 공정을 이용한 반도체 소자의 미세 패턴형성방법
KR20010037049A (ko) 실리레이션을 이용한 리소그라피 방법
KR100281113B1 (ko) 반도체소자의 패터닝 방법
KR100265361B1 (ko) 포토레지스트의 식각 선택비 개선방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee