KR100253612B1 - 비기체 소스로부터의 화학 증기를 반도체 처리 유닛에 공급하는 일체화된 통합형 화학 증기 전송 장치 및 모듈 - Google Patents

비기체 소스로부터의 화학 증기를 반도체 처리 유닛에 공급하는 일체화된 통합형 화학 증기 전송 장치 및 모듈 Download PDF

Info

Publication number
KR100253612B1
KR100253612B1 KR1019930703619A KR930703619A KR100253612B1 KR 100253612 B1 KR100253612 B1 KR 100253612B1 KR 1019930703619 A KR1019930703619 A KR 1019930703619A KR 930703619 A KR930703619 A KR 930703619A KR 100253612 B1 KR100253612 B1 KR 100253612B1
Authority
KR
South Korea
Prior art keywords
chemical vapor
reservoir
processing chamber
housing
valve
Prior art date
Application number
KR1019930703619A
Other languages
English (en)
Inventor
엠. 스토퍼 크레이그
Original Assignee
엠. 스토퍼 크레이그
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엠. 스토퍼 크레이그 filed Critical 엠. 스토퍼 크레이그
Application granted granted Critical
Publication of KR100253612B1 publication Critical patent/KR100253612B1/ko

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J4/00Feed or outlet devices; Feed or outlet control devices
    • B01J4/02Feed or outlet devices; Feed or outlet control devices for feeding measured, i.e. prescribed quantities of reagents
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4485Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation without using carrier gas in contact with the source material
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17CVESSELS FOR CONTAINING OR STORING COMPRESSED, LIQUEFIED OR SOLIDIFIED GASES; FIXED-CAPACITY GAS-HOLDERS; FILLING VESSELS WITH, OR DISCHARGING FROM VESSELS, COMPRESSED, LIQUEFIED, OR SOLIDIFIED GASES
    • F17C13/00Details of vessels or of the filling or discharging of vessels
    • F17C13/04Arrangement or mounting of valves
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17CVESSELS FOR CONTAINING OR STORING COMPRESSED, LIQUEFIED OR SOLIDIFIED GASES; FIXED-CAPACITY GAS-HOLDERS; FILLING VESSELS WITH, OR DISCHARGING FROM VESSELS, COMPRESSED, LIQUEFIED, OR SOLIDIFIED GASES
    • F17C7/00Methods or apparatus for discharging liquefied, solidified, or compressed gases from pressure vessels, not covered by another subclass
    • F17C7/02Discharging liquefied gases
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17CVESSELS FOR CONTAINING OR STORING COMPRESSED, LIQUEFIED OR SOLIDIFIED GASES; FIXED-CAPACITY GAS-HOLDERS; FILLING VESSELS WITH, OR DISCHARGING FROM VESSELS, COMPRESSED, LIQUEFIED, OR SOLIDIFIED GASES
    • F17C7/00Methods or apparatus for discharging liquefied, solidified, or compressed gases from pressure vessels, not covered by another subclass
    • F17C7/02Discharging liquefied gases
    • F17C7/04Discharging liquefied gases with change of state, e.g. vaporisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Sampling And Sample Adjustment (AREA)

Abstract

반도체 가공을 위해 액체 공급원으로부터 공급된 액체를 기화시키도록 가열되는 저장기(44)를 구비한 통합식 모듈(11)이 개시된다. 저장기로부터의 증기 유동을 제어하는 배합 밸브(21) 및 차단 밸브(20)은 밸브(20,21)의 간단한 전도 가열을 위해 모듈(11)상에 장착된다. 커패시터스 압력계(19) 또한 모ㅠㄹ(11)사에 장착되며 자에 가열요소(64A,64B)르르 갖는다. 증기의 응축은 사라지고 연속적인 성능과 증기의 응축은 사라지고 연속적인 성능과 확실성이 성취된다.

Description

[발명의 명칭]
비기체 소스로부터의 화학 증기를 반도체 처리 유닛에 공급하는 일체화된 통합형 화학 증기 전송 장치 및 모듈
[발명의 상세한 설명]
[발명의 배경]
본 발명은 반도체 웨이퍼 처리 장치에 관한 것으로서, 보다 구체적으로 말하면 액체 소스(liquid source)로부터의 화학 증기를 공급하는 화학 증기 전송 장치에 관한 것이다.
많은 반도체 웨이퍼 처리 공정은 반도체 웨이퍼를 처리함에 있어서 하나 이상의 반도체 웨이퍼가 배치되어 있는 가공 챔버 내로 많은 종류의 기체를 공급하는 것을 필요로 한다. 전형적으로, 소스로서의 이들 기체는 가압 탱크 내에서, 예컨대 질소, 산소, 수소, 아르신 등의 기체 상태로 존재한다. 그러나, 일부의 공정은 소스가 액체인 기체를 이용한다. 그 액체는 가열되어 증기로 전환되어 가공 챔버 내로 유입된다.
이제까지의 액체 소스를 사용하는 전송 장치는 액체 소스로부터의 화학 증기를 발생시키기 위하여 기포 발생 유닛 또는 가열되는 저장조를 사용했었다. 기포 발생 유닛에서는, 질소와 같은 불활성 기체가 액체 소스를 통해서 기포가 되어 불활성 기체와 함께 화학 물질의 분자를 운반한다. 액체는 가열된 저장조에서 가열되어 소스인 화학 물질을 기화시킨다.
이러한 전송 장치에 있어서, 밸브와, 압력 및 질량 유량 제어기(mass flow controller), 화학 증기가 통과하는 피이드 라인(feed line)과 같은 분리된 유닛은 기포 발생 유닛 또는 저장조 유닛과 처리 챔버와의 사이에 연결되어 있다. 이들 분리된 유닛들 각각은 가열될 수도 있다. 어떤 경우에도, 이와 같이 복잡한 전송 장치에는 문제가 발생한다. 즉, 이 전송 장치가 가열되어 있지 않거나 또는 불충분하게 가열된 경우에 결로(結露) 현상이 발생하게 되며, 이에 따라 신뢰도가 결여되고, 또한 성능의 일관성도 문제가 된다.
또한, 이들 전송 장치의 질량 유량 제어기는 제한된 성능을 갖는다. 이들 질량 유량 제어기는 유량을 감지하기 위해 가열된 바이패스 센서(bypass sensor)를 사용하기 때문에, 70℃ 이상의 온도에서 작동하기가 어렵다. 이 바이패스 센서는 두 개의 부분으로 구성된다. 제1부분은 기체를 가열하는 (전기) 저항 가열벽을 구비한다. 제2 부분은 가열되지 않으며, 제1부분에서 가열된 기체의 온도를 측정한다. 바이패스로 도입하는 기체와 바이패스를 나오는 기체와의 온도차가 측정되어 기체의 유량이 결정된다. 기체의 온도가 70℃ 이상일 경우, 온도차가 매우 작기 때문에, 오늘날의 질량 유량 제어기로는 기체 유량을 결정하기에 곤란하다. 또한, 가열되지 않은 제2 부분은 결로 문제의 원인이 된다.
본 발명은 액체 소스로부터 처리 챔버로 화학 증기를 전송하는 것과 관련된 많은 문제점들을 해결하거나 실질적으로 경감시킨다. 본 발명에 따른 장치는 일체화되어, 오늘날의 전송 장치의 복잡도의 문제가 회피된다. 성능의 일관성 및 신뢰도는 오늘날의 장치들에 비해 훨씬 개선된다. 또한, 본 발명은 심지어 고체 소스에도 적용할 수 있다.
[발명의 개요]
본 발명은 액체 소스로부터, 내부에 반도체 웨이퍼 처리 챔버를 구비한 처리 유닛으로 증기를 전송하는 일체화된 통합형 화학 증기 전송 장치를 제공한다. 이 전송 장치는 하우징을 구비하고, 이 하우징은 그것을 처리 유닛에 장착할 수 있도록 하는 장착 수단을 갖춘 그 하우징을 구비한다. 하우징은 내부에 저장조를 형성하며, 이 저장조는 하우징이 상기 처리 장치에 장착된 경우에 소스 및 처리 챔버에 연결된다. 저장조는 소스로부터의 액체를 수용한다. 하우징 내의 저장조는 가열 요소에 의해 가열되어 그 저장조 내의 액체가 증기로 변하게 된다. 상기 저장조로부터 처리 챔버까지의 증기의 유동 경로에 있는 밸브가 증기의 전송을 제어한다.
저장조로부터 처리 챔버까지의 증기의 유동 특성을 감지하는 센서, 예를 들면 처리 챔버 내의 압력 또는 저장조로부터 처리 챔버까지의 증기의 질량 유량을 감지하기 위한 센서는 증기의 전송을 제어하기 위한 피드백 제어 경로(feedback control path)를 제공한다.
또한, 본 발명은 반도체 웨이퍼의 처리를 위한 액체 소스로부터 화학 증기를 효과적이고도 효율적으로 전송하는 전송 장치를 제공한다. 이 전송 장치는 처리 유닛과 증기 공급 모듈을 구비한다. 처리 유닛은 반도체 웨이퍼용 처리 챔버를 형성하는 하우징, 기체 유입구, 이 기체 유입구에 연결되어 기체를 상기 처리 챔버로 공급하는 기체 공급 채널, 그리고 상기 처리 챔버로 부터 기체를 제거하기 위한 진공 채널을 구비한다.
[도면의 간단한 설명]
본 발명은 첨부된 도면을 참조하여 이하의 상세하고 구체적인 실시예의 설명을 읽으면 명확하게 이해될 수 있을 것이다.
제1도는 본 발명에 따른 화학 증기 전송 장치의 전체적인 개략도이고,
제2a도는 본 발명에 따른 일체로 된 통합형 화학 증기 전송 모듈을 부분적으로 단면으로 도시한 측면도이고,
b도는 제2a도에 도시된 모듈을 부분적으로 단면으로 도시한 다른 방향에서의 측면도이며,
제3a도는 제2a도 및 제2b도에 도시된 화학 증기 전송 모듈에 장착된 커패시턴스 압력계(capacitance manometer) 및 그 부속품의 개략적인 측면도이고,
b도는 a도에 도시된 압력계의 저면도이며,
c도는 a도에 도시된 압커패시턴스 압력계용 가열 요소의 평면도이고,
제4도는 제1도에 도시된 화학 증기 전송 장치의 처리 챔버의 측면도이며,
제5도는 제2도에 도시된 처리 챔버용 기체 공급 채널의 평면도이며,
제6도는 제1도에 도시된 화학 증기 전송 장치의 처리 유닛에 화학 증기 전송 모듈을 장착하는 방법을 보여주는 연직 방향 단면도이고,
제7도는 세개의 기체 공급 채널을 구비한 모듈의 변형예를 개략적으로 보여주는 도면이며,
제8도는 기체 유동 센서를 구비하는 모듈의 변형예를 보여주는 개략도이다.
[바람직한 실시예의 상세한 설명]
제1도에는 본 발명에 따른 화학 증기 공급 시스템의 일실시예로서 반도체 처리 장치가 개략적으로 예시되어 있다. 제1도에 도시된 시스템에서 공급되는 화학물질은 시릴화 작용제(silylation agent)이다.
시릴화 작용제는 반도체 처리시에 사용되는 액체 소스의 한 종류이다. 이 시릴화 작용제는 높은 해상도를 필요로 하는 진보된 반도체 공정에서 사용되기 시작했다. 반도체의 처리에 있어서, 감광제(photoresist) 층이 반도체 웨이퍼 상에 도포되고, 임의의 광 패턴에 노광(露光)된 후에, 이 감광제를 현상해야 한다. 감광제의 현상시의 시릴화 처리에, 감광제 층이 단지 최상층에서만 노출되어도 된다. 이에 의해, 큰 초점 깊이를 사용하지 않고도, 보다 짧은 파장에서 큰 개구수(開口數)로 포토리소그래피(photolithography)를 행할 수 있게 된다. 처리중에 반도체 웨이퍼 상에 "인쇄되는"회로 배선의 높은 해상도가 보장된다.
시릴화를 위해 시릴화 작용제는 가열되어야 하며, 그 결과로 생성된 증기는 정확한 압력 및 온도로 처리 챔버로 공급되어야만 한다. 전술한 바와 같이, 시릴화 작용제를 시릴화 하기 위한 오늘날의 전송 장치는 작용제의 액체 소스에 연결된 저장조에 의해 형성된다. 저장조는 가열되며, 증기는 피이드 라인을 통해 처리 챔버로 공급된다.
이러한 전송 장치는 작동중에 유지하기가 매우 어렵다. 작용제의 결로(結露) 현상을 피하기 위해, 피이드 라인은 가공 챔버와 저장조 사이의 다양한 부속품과 함께 가열되어야만 한다. 그럼에도 불구하고, 결로 현상은 여전히 문제가 된다. 또한, 전송 장치 내의 다양한 지점에서 불균일하게 가열되므로, 시릴화 작용제 증기를 정확한 온도 및 압력으로 처리 챔버로 공급하기가 매우 어렵다.
시릴화 작용제에 대한 이러한 문제점을 해결하기 위하여, 제1도에 도시된 전송 장치는 일체화된 통합형의 화학 물질 전송 모듈(11)을 구비하고, 이 전송 모듈은 반도체 웨이퍼가 처리중 배치되는 처리 챔버를 구비한 처리 유닛(10)에 부착된다. 화학 물질 전송 모듈(11)은 화학 증기를 액체 상태인 화학 물질 소스(12)로부터 처리 챔버로 공급한다.
진공 펌프(14)는 밸브(23, 24)를 통해 처리 챔버로부터 기체를 제거하는데, 이들 밸브는 처리 챔버 내의 진공을 유지한다. 진공 펌프(14)는 또한 유출물 처리 유닛(도시 않됨) 및 오일 여과 장치 유닛(15)에 접속되어 펌프 오일로부터 불순물을 제거한다.
또한, 질소 소스(13)는 밸브(25) 및 유량계(27)를 거쳐 처리 챔버로 질소를 공급한다. 밸브(25)는 처리 챔버가 진공 상태로부터 대기압 상태로 상승한 경우에, 처리 챔버에 N2가 역충전되는 것을 돕는다. 질소 소스(13)는 또한 밸브(26)을 거쳐 진공 펌프(14)에 연결된다. 진공 펌프(14)로 공급되는 펌프 오일을 제거하여 시릴화 작용제와 같은 불순물이 오일에 흡수되는 것을 감소시킨다. 마지막으로, 질소 소스(13)는 액체 소스(12)에 연결되어 액체 소스(12)를 가압함으로써 액체를 화학 물질 전송 모듈(11)로 공급한다. 체크 밸브(28)가 질소 공급원(13)으로 액체가 역류하는 것을 방지한다.
처리 챔버 내의 압력을 모니터하기 위해, 처리 유닛(10)에 부착되어 있는 커패시턴스 압력계(18)가 처리 챔버에 연결된다. 커패시턴스 압력계(18)는 전자제어 유닛(17)에 연결되고, 이 전자 제어 유닛은 화학 물질 전송 모듈(11) 내의 압력 프로포셔닝 밸브(proportioning pressure valve)(21)에 연결된다.
화학 물질 전송 모듈(11)은 이 모듈(11)에 일체적으로 장착된 차단 밸브, 액체 재충전 밸브(22)와 차단 밸브를 통해 액체 소스(12)로부터 유입되는 액체 화학 물질을 수용하는 저장조를 구비한다. 저장조는 가열되어 상기 액체를 화학 증기로 변화시키며, 이 증기는 저장조로부터 챔버 피이드 밸브(20), 차단 밸브, 그리고 프로포셔닝 밸브(21)를 통과하게 된다. 두 개의 밸브, 즉 프로포셔닝 밸브(21)와 재충전 밸브(22)도 또한 화학 물질 전송 모듈(11)에 일체적으로 장착된다. 전자 제어 유닛(17)으로부터의 신호에 응답하여, 프로포셔닝 밸브(21)는 처리 챔버에 다소의 화학 증기를 공급함으로써 처리 챔버 내의 압력을 제어하게 된다. 화학 물질 전송 모듈(11)은 저장조 내의 화학 증기의 압력을 정확히 모니터하기 위한 커패시턴스 압력계(19)를 구비한다.
제2a도 및 제2b도는 화학 물질 전송 모듈(11)의 구조를 보다 상세하게 보여주고 있다. 제2a도에 도시된 바와 같이, 화학 물질 전송 모듈(11)은 스테인레스강으로 제조된 상부 하우징 유닛(41A)과 하부 하우징 유닛(41B)을 구비한다. 제2b도에 도시된 바와 같이, 작동을 위해 하우징 유닛(41A,41B)은 볼트(45)에 의해 서로 고정적으로 결합된다.
하부 하우징 유닛(41B)에는, 점선으로 윤곽이 도시된 액체 저장조(44)가 형성된다. 하부 하우징 유닛(41B)에 장착된 진공 부속품(52)은 저장조(44)에 연결되어 있다. 이 외에도, 모든 부속품들은 액체 또는 증기의 누설을 방지하도록 기밀되어 있다는 것을 유의해야 한다. 부속품(52)은, 예컨대 모델명 4V1P4K11ACSS로서 알라바마 헌츠빌에 소재한 파커 하나핀(Parker Hannafin)사에 의해 제조된 액체 재충전 밸브(22)에 연결되며, 이 재충전 밸브(22)는 재충전라인에 의해 액체 소스(12)에 연결된다. 재충전 밸브(22)는 화학 물질 전송 모듈(11)과 일체로서, 부속품(52) 및 재충전 라인과 함께 액체 공급 채널을 형성한다.
상부 하우징 유닛(41A)에는 프로포셔닝 밸브(21), 챔버 피이드 밸브(20),커패시턴스 압력계(19) 및 액체 수준 센서(43)가 장착된다. 액체 수준 센서(43)는 나사식(NPT) 부속품(53)에 의해 하우징(41A)에 장착된다. 예컨대, 미시간주 포트 휴론에 소재한 게넬코(Genelco)사에 의해 모델명 511S로서 제조되는 액체 수준 센서(43)는 재충전 밸브(22)가 저장조(44)를 액체가 충전된 상태로 유지할 수 있도록 저장조(44)의 액체의 수준을 결정한다.
예컨대, 메사츄세츠 주, 월밍텅에 소재하는 에드워즈 하이 배큠 (Edwards High Vacuum)사에 의해 모델 622B로 제조되는 커패시턴스 압력계(19)는, 예컨대 알라바마주, 헌츠빌에 소재한 파커 하나핀(Parker Hannafin)사에 의해 8BVSS 모델로 제조된느 부속품(59)에 의해 상부 하우징 유닛(41a)에 부착된다. 커패시턴스 압력게(19)는 압력을 체크하여 저장조(44)로부터 기화되는 액체의 압력을 정밀하게 측정한다.
프로포셔닝 밸브(21)는 예컨대, 캘리포니아주, 산호세에 소재하는 피에프디(PED)사에 의해 제조되는 내화학(耐化學) 밀봉체 및 고온 작동 코일을 구비한 일반적인 모델이다. 프로포셔닝 밸브(21)는 저장조(44)로부터의 기체의 통과를 제어하도록 부속품(61)에 의해 상부 하우징 유닛(41A)에 장착된다. 부속품(61)은 또한 부속품(60)에 접속되며, 이 부속품(60)도 또한 상부 하우징 유닛(41A)에 장착된다. 부속품(60)은, 예컨대 모델명 KIT2173 으로 파커 하나핀사에 의해 제조되는 챔버 피이드 밸브(20)를 유지함으로써 저장조(44)로부터의 기체 통로가 개폐될 수 있게 된다. 유출구(62)를 갖는 부속품(61)은 처리 유닛(10)에 화학 물질 전송 모듈(11)이 장착되면, 처리 유닛(10)의 처리 챔버에 부착된 기체 유입구에 직접적으로 연결되는 유출구(69)를 구비한다. 부속품(61, 60)은 저장조(44)로부터 처리 챔버의 유입구까지 기체 채널을 형성한다.
또한, 상부 하우징 유닛(41A) 내에는 두 개의 저항 가열형 로드 히터(42)(제2b도에 단면으로 도시됨)가 길이 방향으로 장착되어 있다. 일리노이주, 시카고에 소재한 더블유. 더블유, 그레인거(W. W. Grainger)사에 의해 제조되는 로드 히터(rod heaters)가 상부 하우징 유닛(41A) 내에서 길이 방향으로 천공된 1/4인치 직경의 구멍 내에 삽입된다. 전류가 공급되면 저항 가열형 로드 히터(42)는 상부 하우징 유닛(41A), 하부 하우징 유닛(41B) 및 저장조(44) 내의 액체를 가열하게 된다.
로드 히터(42)로부터의 열 에너지를 증가시킬 부가적인 가열을 위해, 압전 변환기도 또한 하우징 유닛(41A,41B)에 장착될 수 있다. 600∼1800 KHz 범위에서 작동되는 압전 변환기로부터의 음파 에너지는 저장조(44)와 이 저장조(44)에 출입하는 액체 및 증기에 또 다른 가열원을 제공한다.
하우징(41)에 밀접하게 설치되는 챔버 피이드 밸브(20), 프로포셔닝 밸브(21), 그리고 커패시턴스 압력계(19)는 상부 하우징 유닛(41A)에 직접 장착되기 때문에 이들은 열전도에 의해 가열된다. 이러한 배치는 저장조 (44)로부터 전송되는 가열된 증기의 바람직하지못한 결로 현상을 유발할 수 있는 방열 냉각(放熱冷却)(heat sink)을 회피한다. 또한, 압력계(19)와 압력계(18) 중 어느 하나 또는 양자 모두 또한 효과적으로 가열되도록 설계된다. 이들 압력계는 그것들의 감지 영역과 부속품을 분리시키는 샤프트를 구비한다. 본 발명에서는, 제3a도 및 제3b도에 도시되어 있는 바와 같이, 압력계(19)의 감지 구역이 부속품(59)에 직접적으로 접속되도록 샤프트가 배제된다. 두 개의 가열 요소, 즉 원통형 압력계(19)의 감지 구역(제3a도 내지 빗금친 구역)의 둘레에 감긴 일방의 가열 요소(64A) 및 (제3b도의 압력계 저면도에 도시된 바와 같이) 부속품(59) 둘레의 원형의 감지 구역(63)에 부착된 타방의 가열 요소(64B)가 압력계(19)를 가열한다. 이들 가열 요소는 실리콘 고무 절연재에 매설된 가열 포일(foil)로 형성된다. 이들 가열 요소는 제3c도에 도시되어 있는 바와 같은 형상이지만, 가열 요소(64A)가 압력계(18,19)의 커버 둘레에 감길수 있도록 유연성이 있다. 상기 가열 요소들은 미조리주 세인트 루이스시에 소재한 와트로우 일렉트릭(Watlow Electric)사에 의해 제조된다.
오늘날의 많은 반도체 처리 장치와 같이, 제1도의 전자 제어 유닛(17)은 모델명 80386 SX로서 캘리포니아주, 산타클라라에 소재한 인텔사에 의해 제조되는 마이크로프로세서를 구비하는데, 이 마이크로프로세서는 공지된 프로그래밍 기술에 의해서 화학 물질 전송 모듈(11)의 기능을 제어한다. 또한, 밸브 및 센서들로부터의 모든 전기적 접속이 도면에 모두 도시되어 있지는 않다.
마이크로프로세서는 압력계(18)의 피이드백 신호에 의해 프로포셔닝 밸브(20)(그리고 그것의 가열)을 제어하여 처리 챔버(39)를 요망하는 압력에 유지한다. 마이크로프로세서는 또한 가열 로드(42)를 통해 저장조(44)의 온도를 제어하여 화학 물질 전송 모듈(11) 내의 증기를 요망되는 온도 및 압력에 유지하며, 액체 수준 센서(43)로부터의 피이드 백 제어 신호를 기초로, 재충전 밸브(22)를 통한 저장조(44)로의 액체의 재공급을 제어한다. 전자 제어 유닛(17)은 아래에서 설명되는 바와 같이, 다른 센서에 접속되어 화학 물질 전송 모듈(11)로부터 처리 챔버로의 증기 흐름을 제어할 수 있다는 것을 주목해야 한다.
제4도는 제1도의 처리 유닛(10)내에 있는 처리 챔버(39)를 부분적으로 측 단면도이다. 처리 챔버(39)는 일반적으로 하나의 반도체 웨이퍼(35)를 수용하는 형상 및 치수로 되어 있다. 처리 챔버(39)는 상부 하우징 판(31A)과 하부 하우징 판(31B)에 의해서 형성된다. 이들 하우징 판(31A,31B)은 스테인레스강으로 제조된다.
처리 챔버(39)는 견고하게 체결된 두개의 하우징 판(31A,31B) 사이에 형성된다. 반도체 웨이퍼가 처리를 위해 장착되는 지점에서, 처리 챔버는 1∼2 인치(2.54∼5.08cm)의 높이를 갖는다. 처리 챔버(39)의 길이(그리고 폭)는 8인치 웨이퍼에 대하여, 즉 200mm의 직경의 웨이퍼에 대하여, 약 11인치(27.94cm) 정도를 갖는다. 따라서, 처리 챔버(39)의 총 체적은 약 5∼10 입방 인치(81.94∼163.88㎤)로서 작게 형성된다. 하부 하우징 판(31B)의 상부 모서리 둘레에 있는 고무 부싱(38)은 이들 하우징 판(31A,31B)이 서로 고정적으로 결합되어 있는 경우, 작동중에 처리 챔버(39)가 밀봉되는 것을 보장한다.
상부 하우징 판(31A)은 수개의 기체 채널(36)을 구비하는 데, 이들은 처리 챔버(39) 내의 에이퍼를 위한 진공 척의 일부이다. 채널(36)은 진공원에 접속되어, 작동중 열전달을 위하여 웨이퍼를 견고하게 소정 위치에 유지한다. 히터 유닛(32A)도 또한 하우징 판(31A)의 상부에 장착된다. 상기 히터 유닛(32A)은 저항 가열식 코일에 의해 형성된다.
하부 하우징 판(31B)은 기체 공급 채널(33)을 구비하며, 이 기체 공급 채널은 공급 채널(51)에 의해 기체 유입구(40)에서 상호 접속된다. 기체 공급 채널(33)은, 아래에서 설명되는 바와 같이, 중심축(50) 둘레에 원형 패턴을 형성한다. 하우징 판 (31B)의 저부에 장착된 것은 저항 가열식 코일에 의해 형성된 또 다른 히터 유닛(32B)이다.
임의의 반응 기체가 처리중의 반도체 웨이퍼에 균일하게 공급되는 것은 매우 중요하다. 제5도에 도시된 바와 같이, 개바된 기체 공급 채널(33)은 위에서 보면 하부 하우징 판(31B)에서 중심축(50) 둘레에 방사상으로 분포된다. 기체 공급 채널(33)은 중심축(50)으로부터 연장하는 4개의 반경 방향 채널(33A) 구비하며, 이들 반경 방향 채널은 중심축(50)을 중심으로 한 등간격의 원형 채널(33B)과 교차한다. 처리 유닛(10)의 작동시, 공급된 증기는 화학 물질 전송 모듈(11)의 유출구(62)로부터 기체 유입구(40)로 유입된다. 증기는 공급 채널(51)을 거쳐서 중심축(50)으로부터 반경 방향 채널(33A)로 유입되어 원형 채널(33B)로 분배된다.
기화된 시릴화 작용제의 경우, 반경 방향 채널(33A)에 대해서는 깊이가 약 0.25 인치(0.64cm), 폭이 약 0.40 인치(1.02cm)인 단면의 채널이, 그리고 원형 채널(33B)에 대해서는 반경 방향 공간이 약 1.0 인치(2.54cm)인 채널이 증기를 분배하는 데에 매우 우수하게 작용하는 것으로 밝혀졌다.
기체 공급 채널(33)과 처리 챔버(39) 사이에는 두개의 원형 판(34)이 있으며, 이들 판은 개방된 기체 공급 채널(33) 위의 원형 슬롯(51)에 끼워진다. 제4도에는 두개의 원형 판이 단일의 판(34)으로 도시되어 있다. 이들 원형 판(34)은 기체 분산 기능을 수행한다. 따라서, 이하에서는 이들 판을 "기체 분산 판" 이라고도 칭한다. 기체 공급 채널(33) 바로 위에 위치되는 기체 분산 판은 외측으로 통하는, 즉 중심축(50)으로부터 일정 간격을 두고 배치되는 패턴으로 천공된 0.030인치(0.76mm) 직경의 복수 개의 구멍을 갖는다. 이러한 형태로, 동일한 양의 기체가 처리 챔버(39)의 단위 체적으로 전송된다. 상기 기체 분산 판은 통상 스테인레스강으로 제조된다.
전술한 두 기체 분산 판(34)의 배치에 대하여 구체적으로 서열하면, 제1 기체 분산 판의 위에 제2 기체 분산 판이 위치된다. 제2 기체 분산 판은 제1 기체 분산 판보다 한층 더 좁은 기체 공급 채널(33)로부터의 기체를 분산시킨다. 제2 기체 분산 판은 금속, 스테인레스강 또는 다공성 흑연으로 형성되며, 육안으로 보이는 구멍은 없다. 그럼에도 불구하고, 기체는 제2 기체 분산 판을 통과할 수 있다. 따라서, 채널(33)로부터 유입되는 기체 증기는 제1 기체 분산 판에 의해 분배되고, 제2 기체 분산 판을 통해 처리 챔버(39)로 누설된다.
처리 챔버 내의 소정 위치에 반도체 웨이퍼(35)를 유지하기 위하여, 웨이퍼 홀더(37)가 사용된다. 처리중에, 웨이퍼(35)는 기체 분배 채널(33)에 대하여 하방을 향하여 배치된다. 일단 상부 하우징 판(31A)이 폐쇄되면, 웨이퍼는 소정 위치에 유지되며 진공 채널(36)에 의해 상부 하우징 판(31A)과 접촉된다.
제6도는 웨이퍼(35)를 구비한 처리 챔버(39)의 평면도로서, 화학 물질 전송 모듈(11)을 처리 유닛(10)에 접속하는 방법을 개략적으로 보여주고 있다. 화학 물질 전송 모듈(11)의 하우징은 볼트로 처리 유닛(10)의 하우징에 체결되며, 이로써 유출구(62)는 처리 챔버에 부착된 기체 유입구(40)에 밀봉 접속된다.
압력계(18)는 웨이퍼(35)가 탑재된 처리 챔버(39) 내의 압력을 측정하며, 밸브(23)는 증기를 챔버(39)로부터 제거하고, 밸브(25)는 질소 공급원(13)으로부터의 질소 유동을 제어한다. 또한, 상기 도면에서 처리 챔버(39)는 두 개의 화학 물질 전송 모듈(11)에 접속되어 있다. 두 개의 화학 물질 전송 모듈(11)은 각각 다른 액체 소스에 접속됨으로써 처리 챔버(39) 내에서 다양한 처리 공정을 수행할 수 있게 한다.
작동에 있어서, 제1도에 되시되어 있는 화학 증기 전송 장치는 (헥사메틸디실라잔) HMDS, (헥사메틸시클로트리실라잔) HMCTS, (트리메틸시릴디메틸아민) TMSDMA, (트리메틸시릴디에틸아민) TMSDEA, (디메틸시릴디메틸아민) DMSDMA, (비스(디스메틸시릴) 메틸아민) B(DMS)DAM, 및 (비스(디메틸시릴) 메틸아민) B(DMS)MA 등과 같은 시릴화 작용제에 대해 효과적으로 작동된다. 질량 유량을 제어하는 화학 물질 전송 유닛(11)은 시릴화 작용제를 25∼100℃의 범위로 가열한다.
처리 유닛(10)에 일체적으로 장착된 화학 물질 전송 모듈(11) 및 그 처리 챔버(39)에 의해 피이드 라인은 제거되게 된다. 더 이상 결로의 문제가 발생되지 않으며 시릴화 작용제는 정확한 온도 및 압력으로 처리 챔버(39)로 공급될 수 있다. 여러 가지 장점 중에서, 특히 본 발명은 피이드 라인을 가열하여 그것을 정확한 온도를 유지시키거나, 또는 각 구성 부품을 일체로 접속하여 각 구성 부품을 개별적으로 가열해야 할 필요에 따라 야기되는 복잡화의 문제를 회피한다.
또한, 작용제들은 처리 챔버(39) 내의 웨이퍼 상에 균일하게 분배된다.
시릴화 작용제 외에, 본 발명은 에칭과 다른 확산에 사용되는 다른 액체 유기 실란(organosilanes) 및 기타의 다른 액체 소스들이 사용될 수 있다. 그 일례로는 반도체 웨이퍼상에 규소 유리를 피복하기 위해 사용되는 (테트라에틸옥시실란) TEOS가 있다. TEOS 증기는 시릴화 작용제 증기 보다 훨씬 높은 유량으로 처리 챔버(39) 및 반도체 웨이퍼로 전송된다. 예를 들자면, TEOS에 대한 전형적인 유량은 초당 1∼60 cc 임에 반해, 시릴화 작용제의 유량은 초당 0.1∼1 cc 이다. 따라서, 작동시의 TEOS 증기 유량은 더 중요해진다.
TEOS의 사용시, 화학 물질 전송 모듈(11)은 설명된 압력 제어기로서의 동작으로부터 질량 유량 제어기로서의 동작으로 동작이 변경된다. 화학 물질 전송 모듈(11)은 전술한 바와 동일하게, 프로포셔닝 밸브(21)와 그 부속품과의 사이에 유량 센서를 구비하며, 제8도에 모식적으로 도시된 바와 같이 유출구(62)가 처리 챔버의 기체 공급 유입구에 부가된다. 제1도의 전자 제어 유닛(17)은 변형되게 된다. 전술한 바와 같이, 전자 제어 유닛(17)의 마이크로프로세서는 화학 증기 전송 모듈(11)의 온도, 커패시턴스 압력계(19)의 온도 및 저장조(44)의 재충전 작동을 제어하게 된다. 그러나, 마이크로프로세서는 압력계(18)로부터의 피이드백 신호보다는 유동 센서(65)로부터의 피이드백 신호에 응답하여, 기화된 TEOS 의 흐름을 압력 프로포셔닝 밸브(21)로 제어한다.
그러나, 전술한 바와 같이, 질량 유량 제어기(그리고 그 센서)는 일반적으로 70℃ 이상의 온도에서 작동하기가 어렵다. 작동시, 화학 증기 전송 모듈(11)은 저장조(44)를 185℃의 최대 온도까지 가열하여 액체 TEOS를 기화 상태로 만든다. 다른 작용제들은 보다 높은 온도를 필요로 할 수도 있다. 압력계(19)에 부가되어 질량 유량 제어기로서 보다 양호하게 작동하도록, 제2 압력계가 밸브(21)와 유출구(62)사이에 접속될 수 있다. 전자 제어 유닛(17)의 마이크로프로세서를 사용하여, 유출구(62)를 거치는 저장조(44)로부터의 유량은 두개의 압력계가 감지한 압력차에 의해 계산될 수 있다. 계산된 값을 기초로, 밸브(21)는 전자 제어 유닛(17)으로부터의 신호에 의해 제어되어 증기의 흐름을 제어한다.
제7도는 질량 유량 제어기의 확장된 범위에 대한 화학 증기 전송 모듈(11)의 변형례를 보여준다. 이 변형에 있어서, 세개의 기체 채널들이 모듈 내의 가열된 저장소와 처리 챔버에 부착된 기체 유출구 사이에 형성된다. 제7도에서, 차단 밸브(72) 및 수준 센서(73)는 전술한 바와 같이 변형된 모듈(81)내의 저장조에 담겨 있는 액체의 수준을 제어한다. 커패시턴스 압력계(79)는 저장조의 압력을 측정한다. 저장조에 3개의 기체 채널이 접속되는데, 이들 기체 채널 각각은 2개씩의 차단 밸브(71A와 72A, 71B와 72B, 71C와 72C)와 그 각각의 부속품(도시 생략) 사이에 압력 프로포셔닝 밸브(70A∼70C)에 의해 형성된다. 차단 밸브(72A∼72C)용 부속품의 유출구들은, 제2 압력계(78) 및 그 부속품(도시되지 않음)에 접속되고, 나아가 처리 챔버로의 기체 유입구에 직접 접속되도록 유출구(82)를 구비한 유출구 부속품(83)에 접속된다.
차단 밸브(71A∼71C, 72A∼72C)는 작동시 한 번에 하나씩의 기체 채널을 개방시키도록 작동된다. 각 기체 채널은 두 개의 차단 밸브를 구비하여, 기체 채널로의 어떠한 역류도 방지하도록 각각의 비작동 채널을 분리시킨다.
세 개의 기체 채널은 변형된 .모듈에 대하여 세개의 다른 유량 범위를 허용한다. 전형적으로, 압력 프로포셔닝 밸브의 제어하에 있는 단일 채널은 증기 유량이 10 단위에 걸쳐 작동되도록, 즉 유량이 1 유동 단위(flow unit)로 부터, 예를 들면 20 유동 단위까지 변화될 수 있도록 작동될 수 있다. 세 개의 채널에서, 각 압력 프로포셔닝 밸브(70A∼70C)의 증기 유량이 3개의 10단위 또는 그 이상으로 확장될 수 있도록 교정가능하다. 전술한 바와 같이, 두 개의 압력계는 선택된 채널의 유량을 결정할 수 있도록 압력 편차를 제공한다. 변형된 모듈은 질량 유량 제어기로서 뿐만 아니라, 확대된 작동 범위를 갖는 압력 제어기로서도 동작할 필요가 있다는 것에 주목하라.
압력계(78,79)가 모든 작동 조건에 대하여 압력을 측정할 수 없는 그러한 본질적으로 다른 압력에서 채널들이 작동한다면, 모듈(81)은 한 쌍의 압력계가 각 채널의 압력 프로포셔닝 밸브(70A∼70C)의 양측에 위치되도록 변형될 수 있다. 압력계는 각 채널에 대한 조건 하에서 작동되도록 교정된다.
또 다른 변형은 질량 유량 제어기로서 작동하는 모듈에 통기공(通氣孔)을 부가하는 것이다. 채널에서 유출구에 도달되기 전의 위치에 배치되는 절환(切換) 밸브가 처리 챔버로의 유출구를 통과하거나 또는 통기공을 통과하는 모듈의 가열된 저장조로부터의 증기의 흐름을 제어한다. 통기공이 없으면, 증기는 챔버 피이드 밸브(20)이 개방되는 즉시 처리 챔버 내로 유입된다. 이러한 초기 상태 하에서, 질량 유량은 바람직하지 못한다. 통기공 및 절환 밸브는 통기공을 통한 초기의 증기 유량이 안정되고 바람직한 유량으로 조절될 수 있게 한다. 이후 절환 밸브가 작동되고, 증기 유동은 모듈의 유출구를 통해 이동되어 처리 챔버로 유입된다.
이와 같이 작동 조건의 융통성이 있으면, 본 발명은 액체 소스를 갖는 많은 화학적 처리 공정에 사용될 수 있다. 에칭 처리에 있어서의 카본 테트라클로라이드는 본 발명에 이용될 수 있으며, 도핑 처리는 본 발명에 의해 실행될 수 있다. 본 발명은 최초에는 고체인 화학 물질 소스라도 처리할 수 있다. 고체 소스는 고체 화학 물질이 액화되어, 그 화학 물질 액체가 본 발명의 일체화된 .모듈로 이송될 수 있게 가열될 수 있다.
이상, 본 발명의 바람직한 실시예에 대하여 상세히 설명하였지만, 이에 대한 다양한 대체물, 수정물과 균등물도 이용될 수 있다. 또한, 본 발명은 전술한 실시예들을 적당하게 변형함으로써 동등하게 적용할 수 있음이 분명하다. 따라서, 이상의 설명은 첨부된 청구 범위의 한계로서 정해지는 본 발명의 범위를 제한하는 것으로 해석하지 말아야 한다.

Claims (40)

  1. 액체 소스(12)로부터 내부에 반도체 웨이퍼 처리용 처리 챔버(30)를 갖춘 처리 유닛(10)으로 화학 증기를 전송하는 일체화된 통합형 화학 증기 전송 장치로서, 장착 수단(61)을 구비하고, 이 장착 수단에 의해 상기 처리 유닛에 장착되는 하우징(41); 상기 액체 소스(12)로부터의 액체를 수용하는 저장조(44)로서, 상기 하우징(41)에 의해 형성되어 상기 하우징이 상기 처리 유닛에 장착되면 상기 액체 소스(12) 및 상기 처리 챔버(30)에 접속되는 그 저장조(44); 상기 저장조 내의 액체가 증기로 변환되도록 상기 하우징 내의 저장조를 가열하는 가열 수단(42); 그리고 상기 저장조(44)로부터 상기 처리 챔버까지의 상기 증기의 공급을 제어하는 상기 하우징 내의 제어 수단(21,22,20)을 구비하며, 상기 액체 소스(12)로부터 상기 처리 챔버(30)로 증기를 제어 가능하게 공급하는 것을 특징으로 하는 화학 증기 전송 장치.
  2. 제1항에 있어서, 상기 저장조(44)로부터 상기 처리 챔버(30)로 공급되는 상기 증기의 특성을 감지하는 감지 수단(43)을 추가로 구비하는 것을 특징으로 하는 화학 증기 전송 장치.
  3. 제2항에 있어서, 상기 제어 수단은 상기 처리 챔버로의 증기의 공급이 상기 감지된 특성에 의해 제어되도록 상기 감지 수단(43)에 접속되는 것을 특징으로 하는 화학 증기 전송 장치.
  4. 제3항에 있어서, 상기 제어 수단은 상기 저장조와 상기 처리 챔버와의 사이에 연결된 제1밸브(21)를 구비하며, 이 제1밸브는 상기 저장조로부터 상기 처리 챔버(30)까지의 증기의 흐름을 조절하는 것을 특징으로 하는 화학 증기 전송 장치.
  5. 제4항에 있어서, 상기 제1밸브(21)는 프로포셔닝 밸브(proportioning valve)로 구성되는 것을 특징으로 하는 화학 증기 전송 장치.
  6. 제3항에 있어서, 상기 감지 수단(43)은 상기 처리 챔버 내의 압력을 감지하는 압력 감지 수단(19)을 포함하는 것을 특징으로 하는 화학 증기 전송 장치.
  7. 제3항에 있어서, 상기 감지 수단(43)은 상기 저장조로부터 상기 처리 챔버로 공급되는 상기 증기의 흐름을 감지하는 유동 감지 수단을 포함하는 것을 특징으로 하는 화학 증기 전송 장치.
  8. 제7항에 있어서, 상기 유동 감지 수단은 상기 저장조로부터 상기 처리 챔버로의 상기 증기의 흐름을 따라 두 지점 사이의 압력차를 측정하여 유량을 결정하는 측정 수단(78,79)을 구비하는 것을 특징으로 하는 화학 증기 전송 장치.
  9. 제1항에 있어서, 상기 하우징 내에, 상기 저장조에 수용된 액체의 양을 감지하는 감지 수단(43)을 또한 구비하는 것을 특징으로 하는 화학 증기 전송 장치.
  10. 제9항에 있어서, 상기 액체의 양을 감지하는 감지 수단(43)은 상기 저장조에 수용된 상기 액체의 수준을 측정하는 것을 특징으로 하는 화학 증기 전송 장치.
  11. 제9항에 있어서, 상기 하우징 내에 제2 밸브(22)를 추가로 구비하며, 이 밸브는 상기 액체 소스와 상기 저장조 사이에 접속되고, 또한 상기 감지 수단에 결합되며, 상기 제2 밸브는 상기 소스로부터의 액체가 상기 저장조 내에 유지되도록 상기 저장조에 수용된 상기 액체의 양에 응답하여 작동하는 것을 특징으로 하는 화학 증기 전송 장치.
  12. 제1항에 있어서, 상기 하우징 내에 제3 밸브(20)를 추가로 구비하며, 이 제3 밸브는 상기 저장조와 상기 처리 챔버 사이에 접속되어 상기 저장조로부터 상기 처리 챔버로의 증기를 차단할 수 있는 것을 특징으로 하는 화학 증기 전송 장치.
  13. 제1항에 있어서, 상기 저장조를 가열하는 가열 수단은 상기 하우징 내에 장착된 저항 가열식 로드(42)를 구비하는 것을 특징으로 하는 화학 증기 전송 장치.
  14. 액체 소스(12)로부터의 화학 증기를 유입구를 통해 반도체 웨이퍼 처리 유닛(10)의 처리 챔버(39)로 공급하는 일체화된 통합형 화학 증기 전송 모듈로서, 상기 액체 소스로부터의 액체를 수용하는 저장조(44)를 형성하는 하우징(41); 상기 액체 소스에 접속시키기 위해, 상기 저장조를 공급 유입구에 접속시키는 공급 채널; 상기 저장조를 유출구에 접속하는 기체 채널(33); 상기 유출구가 상기 처리 챔버 유입구에 접속되도록 상기 하우징(41)을 상기 처리 유닛에 .장착하는 장착 수단(61); 하우징 내에서, 상기 저장조 내의 액체가 기화될 수 있도록 상기 저장조를 가열하는 가열 수단(42); 그리고 상기 기체 채널(33)에 접속되어, 상기 저장조로부터 상기 처리 챔버로의 증기의 공급을 제어하는 상기 하우징 내의 제어 수단(21,22,20)을 구비하며, 상기 액체 소스로부터 상기 처리 챔버로 증기를 제어 가능하게 공급하는 것을 특징으로 하는 화학 증기 전송 모듈.
  15. 제14항에 있어서, 상기 저장조(44)로부터 상기 처리 챔버(30)로 공급되는 상기 증기의 특성을 감지하는 감지 수단(43)을 추가로 구비하는 것을 특징으로 하는 화학 증기 전송 모듈.
  16. 제15항에 있어서, 상기 제어 수단은 상기 처리 챔버로의 증기의 공급이 상기 감지된 특성에 의해 제어되도록 상기 감지 수단(43)에 접속되는 것을 특징으로 하는 화학 증기 전송 모듈.
  17. 제16항에 있어서, 상기 제어 수단은 상기 저장조와 상기 처리 챔버와의 사이에 연결된 제1밸브(21)를 구비하며, 이 제1밸브는 상기 저장조로부터 상기 처리 챔버까지의 증기의 흐름을 조절하는 것을 특징으로 하는 화학 증기 전송 모듈.
  18. 제17항에 있어서, 상기 제1밸브(21)는 프로포셔닝 밸브로 구성되는 것을 특징으로 하는 화학 증기 전송 모듈.
  19. 제16항에 있어서, 상기 감지 수단(43)은 상기 처리 챔버 내의 압력을 감지하는 압력 감지 수단(19)을 포함하는 것을 특징으로 하는 화학 증기 전송 모듈.
  20. 제16항에 있어서, 상기 감지 수단은 상기 기체 채널을 통한 상기 증기의 흐름을 감지하는 유동 감지 수단을 포함하는 것을 특징으로 하는 화학 증기 전송 모듈.
  21. 제20항에 있어서, 상기 유동 감지 수단은 상기 저장조로부터 상기 처리 챔버로의 상기 증기의 흐름을 따라 두 지점 사이의 압력차를 측정하여 유량을 결정하는 측정 수단(78,79)을 구비하는 것을 특징으로 하는 화학 증기 전송 모듈.
  22. 제14항에 있어서, 상기 하우징 내에, 상기 저장조에 수용된 액체의 양을 감지하는 감지 수단(43)을 또한 구비하는 것을 특징으로 하는 화학 증기 전송 모듈.
  23. 제22항에 있어서, 상기 액체의 양을 감지하는 감지 수단(43)은 상기 저장조에 수용된 상기 액체의 수준을 측정하는 것을 특징으로 하는 화학 증기 전송 모듈.
  24. 제22항에 있어서, 상기 하우징 내에 제2 밸브(22)를 추가로 구비하며, 이 제2 밸브는 상기 공급 채널에 접속되고, 또한 상기 소스로부터의 액체가 상기 저장조 내에 유지되도록 상기 저장조에 수용된 상기 액체의 양에 응답하여 작동하는 것을 특징으로 하는 화학 증기 전송 모듈.
  25. 제14항에 있어서, 상기 하우징 내에 제3 밸브(20)를 추가로 구비하며, 이 제3 밸브는 상기 저장조와 상기 처리 챔버 사이에 접속되어 상기 저장조로부터 상기 처리 챔버로의 증기를 차단할 수 있는 것을 특징으로 하는 화학 증기 전송 모듈.
  26. 제14항에 있어서, 상기 저장조를 가열하는 가열 수단은 상기 하우징 내에 장착된 저항 가열식 로드(42)를 구비하는 것을 특징으로 하는 화학 증기 전송 모듈.
  27. 제14항에 있어서, 상기 저장조를 상기 유출구에 연결하는 제2 기체 채널을 또한 구비하며, 각 기체 채널은 다른 조건하에서 작동할 수 있는 것을 특징으로 하는 화학 증기 전송 모듈.
  28. 제27항에 있어서, 상기 각 기체 채널은 한 쌍의 차단 밸브(71A∼72C)와, 그 채널에 연결된 한 쌍의 차단 밸브 사이의 프로포셔닝 밸브(70A∼70C)를 구비하는 것을 특징으로 하는 화학 증기 전송 모듈.
  29. 제28항에 있어서, 각 기체 채널은 상기 프로포셔닝 밸브의 양쪽에 접속된 한 쌍의 압력계(78.79)를 포함하는 것을 특징으로 하는 화학 증기 전송 모듈.
  30. 제14항에 있어서, 상기 기체 채널에 접속된 통기공 및 절환 밸브를 구비하며, 상기 절환 밸브는 증기를 상기 통기공 또는 상기 유출구를 통해 상기 증기를 선택적으로 공급하는 것을 특징으로 하는 화학 증기 전송 모듈.
  31. 반도체 웨이퍼의 처리를 위하여 액체 소스(12)로부터의 화학 증기를 공급하는 화학 증기 전송 장치로서, 반도체 웨이퍼용 처리 챔버(39)를 형성하는 하우징을 구비하는 처리 유닛(10)과; 상기 처리 유닛의 하우징 내의 기체 유입구(40)와; 상기 기체 유입구에 접속되어, 기체를 상기 처리 챔버로 공급하는 하우징 내의 복수 개의 기체 공급 채널(33); 상기 처리 챔버로부터 기체를 제거하기 위한 복수개의 진공 채널(36); 그리고 화학 증기의 액체 소스 및 처리 유닛에 접속된 모듈(11)을 구비하며, 상기 모듈은 상기 처리 유닛(10)의 하우징(39)에 장착되고, 상기 액체 소스로부터의 액체를 수용하기 위한 저장조(44)를 형성하는 모듈 하우징(41)과, 상기 저장조를 가열하여 상기 액체로부터 화학 증기를 발생시키는 가열 수단(42), 그리고 상기 기체 유입구에 접속되어 상기 화학 증기를 상기 기체 유입구 및 처리 챔버로 공급하는 전송 수단(21)을 구비하며, 상기 액체 소스로부터의 화학 증기는 상기 반도체 웨이퍼용 처리 챔버로 공급되는 것을 특징으로 하는 화학 증기 전송 장치.
  32. 제31항에 있어서, 상기 처리 챔버는 한 번에 한 개의 반도체 웨이퍼(35)를 수용하는 크기 및 형상을 취하며, 상기 반도체 웨이퍼는 중심축을 갖는 원형 형상으로서 상기 중심축에 대해 수직인 두 개의 대향하는 주표면을 구비하며, 상기 기체 공급 채널(33)은 상기 처리 챔버로 개방되고, 상기 중심축을 중심으로 한 원형 패턴으로 상호 접속되어 있는 것을 특징으로 하는 화학 증기 전송 장치.
  33. 제32항에 있어서, 상기 모듈 하우징은 제1 하우징 판(31A)과 제2 하우징 판(31B)을 구비하며, 상기 처리 챔버는 이 처리 챔버와 수직인 상기 중심축에 대하여 수직으로 상기 제1 하우징 판과 제2 하우징 판 사이에 형성되며, 상기 기체 유입구 및 기체 공급 채널(33)은 상기 제1 하우징 판에 내장되고, 상기 기체 유입구(40)는 상기 중심축을 따라 상기 기체 공급 채널에 연결되는 것을 특징으로 하는 화학 증기 전송 장치.
  34. 제33항에 있어서, 상기 기체 공급 채널은 상기 중심축(50)에 대해 반경 방향으로 다수의 채널(33A)을 구비하는 것을 특징으로 하는 화학 증기 전송 장치.
  35. 제34항에 있어서, 상기 반경 방향 채널(33A)은 0.25인치×0.40인치의 반원형 횡단면을 갖는 것을 특징으로 하는 화학 증기 전송 장치.
  36. 제34항에 있어서, 상기 기체 공급 채널(33)은 상기 중심축을 중심으로 하는 다수의 원형 채널(33B)로서 구성되며, 상기 반경방향 채널(33A)과 교차되는 것을 특징으로 하는 화학 증기 전송 장치.
  37. 제36항에 있어서, 상기 원형 채널들은 중심축(450)을 중심으로 서로에 대해 반경 방향으로 0.75 인치씩 간격을 두고 배치되어 있는 것을 특징으로 하는 화학 증기 전송 장치.
  38. 제36항에 있어서, 상기 원형 채널은 3인치의 직경을 갖는 직사각 횡단면을 갖는 것을 특징으로 하는 화학 증기 전송 장치.
  39. 제34항에 있어서, 상기 기체 공급 채널 상부에 제1 판(34)을 추가로 구비하며, 이 제1 판은 상기 기체 공급 채널(33)로부터 처리 챔버(39) 속으로 기체를 분산하기 위한 다수의 구멍을 구비하는 것을 특징으로 하는 화학 증기 전송 장치.
  40. 제39항에 있어서, 상기 제1 판의 상부에 제2 판을 추가로 구비하며, 이 제2 판은 상기 기체 공급 채널로부터 처리 챔버로 기체를 분산할 수 있도록 다공성인 것을 특징으로 하는 화학 증기 전송 장치.
KR1019930703619A 1991-05-31 1992-05-29 비기체 소스로부터의 화학 증기를 반도체 처리 유닛에 공급하는 일체화된 통합형 화학 증기 전송 장치 및 모듈 KR100253612B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US07/708,421 US5252134A (en) 1991-05-31 1991-05-31 Integrated delivery system for chemical vapor from non-gaseous sources for semiconductor processing
US708,421 1991-05-31
PCT/US1992/004466 WO1992021789A1 (en) 1991-05-31 1992-05-29 Integrated delivery system for chemical vapor from non-gaseous sources for semiconductor processing

Publications (1)

Publication Number Publication Date
KR100253612B1 true KR100253612B1 (ko) 2000-04-15

Family

ID=24845738

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019930703619A KR100253612B1 (ko) 1991-05-31 1992-05-29 비기체 소스로부터의 화학 증기를 반도체 처리 유닛에 공급하는 일체화된 통합형 화학 증기 전송 장치 및 모듈

Country Status (8)

Country Link
US (3) US5252134A (ko)
EP (1) EP0587724B1 (ko)
JP (1) JPH06508402A (ko)
KR (1) KR100253612B1 (ko)
AT (1) ATE144561T1 (ko)
DE (1) DE69214811T2 (ko)
ES (1) ES2093836T3 (ko)
WO (1) WO1992021789A1 (ko)

Families Citing this family (232)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6004885A (en) * 1991-12-26 1999-12-21 Canon Kabushiki Kaisha Thin film formation on semiconductor wafer
DE4236324C1 (ko) * 1992-10-28 1993-09-02 Schott Glaswerke, 55122 Mainz, De
JP2870719B2 (ja) * 1993-01-29 1999-03-17 東京エレクトロン株式会社 処理装置
TW262566B (ko) * 1993-07-02 1995-11-11 Tokyo Electron Co Ltd
US5455014A (en) * 1993-07-20 1995-10-03 Hughes Aircraft Company Liquid deposition source gas delivery system
JPH07122500A (ja) * 1993-10-28 1995-05-12 Fujitsu Ltd ガス機器及びこれを利用したガス供給装置
US5520969A (en) * 1994-02-04 1996-05-28 Applied Materials, Inc. Method for in-situ liquid flow rate estimation and verification
US5630878A (en) * 1994-02-20 1997-05-20 Stec Inc. Liquid material-vaporizing and supplying apparatus
US5399200A (en) * 1994-03-10 1995-03-21 Stauffer; Craig M. Module in an integrated delivery system for chemical vapors from liquid sources
US5487783A (en) * 1994-04-14 1996-01-30 International Business Machines Corporation Method and apparatus for preventing rupture and contamination of an ultra-clean APCVD reactor during shutdown
US5417766A (en) * 1994-04-26 1995-05-23 Itt Corporation Channel evaporator
US5451258A (en) * 1994-05-11 1995-09-19 Materials Research Corporation Apparatus and method for improved delivery of vaporized reactant gases to a reaction chamber
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JP3754450B2 (ja) * 1994-11-16 2006-03-15 グッドリッチ・コーポレイション 圧力勾配cvi/cvd法
US5653813A (en) * 1995-04-03 1997-08-05 Novellus Systems, Inc. Cyclone evaporator
US5925189A (en) * 1995-12-06 1999-07-20 Applied Materials, Inc. Liquid phosphorous precursor delivery apparatus
TW322602B (ko) * 1996-04-05 1997-12-11 Ehara Seisakusho Kk
US5835677A (en) * 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5835678A (en) * 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US6076359A (en) * 1996-11-25 2000-06-20 American Air Liquide Inc. System and method for controlled delivery of liquified gases
US6083321A (en) * 1997-07-11 2000-07-04 Applied Materials, Inc. Fluid delivery system and method
US5966499A (en) * 1997-07-28 1999-10-12 Mks Instruments, Inc. System for delivering a substantially constant vapor flow to a chemical process reactor
JP3406488B2 (ja) * 1997-09-05 2003-05-12 東京エレクトロン株式会社 真空処理装置
TW432578B (en) 1997-09-18 2001-05-01 Tokyo Electron Ltd A vacuum processing apparatus
US6099653A (en) * 1997-12-12 2000-08-08 Advanced Technology Materials, Inc. Liquid reagent delivery system with constant thermal loading of vaporizer
JP3323797B2 (ja) * 1998-01-21 2002-09-09 東京エレクトロン株式会社 疎水化処理装置
US6032483A (en) * 1998-04-07 2000-03-07 American Air Liquide Inc. System and method for delivery of a vapor phase product to a point of use
US6122931A (en) * 1998-04-07 2000-09-26 American Air Liquide Inc. System and method for delivery of a vapor phase product to a point of use
EP0962260B1 (en) * 1998-05-28 2005-01-05 Ulvac, Inc. Material evaporation system
US6275649B1 (en) * 1998-06-01 2001-08-14 Nihon Shinku Gijutsu Kabushiki Kaisha Evaporation apparatus
KR100518147B1 (ko) * 1998-06-01 2005-11-25 가부시키가이샤 아루박 증착장치,유기증발원및유기박막제조방법
US6358323B1 (en) * 1998-07-21 2002-03-19 Applied Materials, Inc. Method and apparatus for improved control of process and purge material in a substrate processing system
US7476419B2 (en) * 1998-10-23 2009-01-13 Goodrich Corporation Method for measurement of weight during a CVI/CVD process
US6669988B2 (en) 2001-08-20 2003-12-30 Goodrich Corporation Hardware assembly for CVI/CVD processes
EP1023866A1 (en) * 1999-01-27 2000-08-02 Euroflex S.r.l. Easily portable linear-shaped steam generating unit
US6179925B1 (en) * 1999-05-14 2001-01-30 Applied Materials, Inc. Method and apparatus for improved control of process and purge material in substrate processing system
US6129108A (en) * 1999-12-03 2000-10-10 United Semiconductor Corp Fluid delivering system
US6473564B1 (en) * 2000-01-07 2002-10-29 Nihon Shinku Gijutsu Kabushiki Kaisha Method of manufacturing thin organic film
US20010015171A1 (en) * 2000-02-22 2001-08-23 Kazuhiko Ooshima Treatment apparatus
US6584998B1 (en) 2000-03-31 2003-07-01 Innovative Engineered Solutions, Llc Apparatus and method for regulating gas flow
ATE487604T1 (de) * 2000-05-22 2010-11-15 Seiko Epson Corp Kopfelement und verfahren zur tintenabweisenden behandlung
US6572706B1 (en) * 2000-06-19 2003-06-03 Simplus Systems Corporation Integrated precursor delivery system
US6637212B2 (en) 2001-04-27 2003-10-28 Matheson Tri-Gas Method and apparatus for the delivery of liquefied gases having constant impurity levels
JP2003031050A (ja) * 2001-07-16 2003-01-31 Nec Corp 水銀を含む銅酸化物超伝導体薄膜、その製造装置およびその製造方法
US6431229B1 (en) * 2001-08-24 2002-08-13 Air Products And Chemicals, Inc. Solventless purgeable diaphragm valved manifold for low vapor pressure chemicals
US7003215B2 (en) * 2002-01-21 2006-02-21 Air Products And Chemicals, Inc. Vapor flow controller
US6790475B2 (en) * 2002-04-09 2004-09-14 Wafermasters Inc. Source gas delivery
US7601225B2 (en) 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
JP4585852B2 (ja) * 2002-07-30 2010-11-24 エーエスエム アメリカ インコーポレイテッド 基板処理システム、基板処理方法及び昇華装置
US20100129548A1 (en) * 2003-06-27 2010-05-27 Sundew Technologies, Llc Ald apparatus and method
US20060121197A1 (en) * 2003-09-05 2006-06-08 Moffat William A Method for silane coating of indium tin oxide surfaced substrates
US7727588B2 (en) * 2003-09-05 2010-06-01 Yield Engineering Systems, Inc. Apparatus for the efficient coating of substrates
US7156380B2 (en) * 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
CN101228424A (zh) 2005-02-22 2008-07-23 维多尼公司 液化气汽化和测量系统与方法
US8056399B2 (en) * 2005-02-22 2011-11-15 Mustang Sampling, Llc Liquid gas vaporization and measurement system and method
US7680399B2 (en) * 2006-02-07 2010-03-16 Brooks Instrument, Llc System and method for producing and delivering vapor
US20070194470A1 (en) * 2006-02-17 2007-08-23 Aviza Technology, Inc. Direct liquid injector device
US20070204631A1 (en) * 2006-03-03 2007-09-06 American Air Liquide, Inc. Liquefied Chemical Gas Delivery System
US7833353B2 (en) * 2007-01-24 2010-11-16 Asm Japan K.K. Liquid material vaporization apparatus for semiconductor processing apparatus
US8343583B2 (en) 2008-07-10 2013-01-01 Asm International N.V. Method for vaporizing non-gaseous precursor in a fluidized bed
US8012876B2 (en) 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
US9117773B2 (en) * 2009-08-26 2015-08-25 Asm America, Inc. High concentration water pulses for atomic layer deposition
JP4927158B2 (ja) * 2009-12-25 2012-05-09 東京エレクトロン株式会社 基板処理方法、その基板処理方法を実行させるためのプログラムを記録した記録媒体及び基板処理装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
DE102013100159A1 (de) * 2012-11-28 2014-05-28 Endress + Hauser Gmbh + Co. Kg Feldgerät zur Bestimmung oder Überwachung einer Prozessgröße in der Automatisierungstechnik
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10613006B1 (en) 2018-09-24 2020-04-07 Mustang Sampling, LLC. Liquid vaporization device and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) * 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11604125B1 (en) 2022-03-07 2023-03-14 Mustang Sampling, Llc Liquid gas sample vaporizer conditioning system and method

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3854443A (en) * 1973-12-19 1974-12-17 Intel Corp Gas reactor for depositing thin films
US4488506A (en) * 1981-06-18 1984-12-18 Itt Industries, Inc. Metallization plant
US4436674A (en) * 1981-07-30 1984-03-13 J.C. Schumacher Co. Vapor mass flow control system
SE429472B (sv) * 1982-02-22 1983-09-05 Acela Pump Ab Angalstrare fremst avsedd for intermittent drift
AU563417B2 (en) * 1984-02-07 1987-07-09 Nippon Telegraph & Telephone Public Corporation Optical fibre manufacture
US4619844A (en) * 1985-01-22 1986-10-28 Fairchild Camera Instrument Corp. Method and apparatus for low pressure chemical vapor deposition
US4583372A (en) * 1985-01-30 1986-04-22 At&T Technologies, Inc. Methods of and apparatus for storing and delivering a fluid
JPS6281019A (ja) * 1985-10-04 1987-04-14 Oki Electric Ind Co Ltd 縦形気相化学生成装置
US4640221A (en) * 1985-10-30 1987-02-03 International Business Machines Corporation Vacuum deposition system with improved mass flow control
JPH0811829B2 (ja) * 1987-03-27 1996-02-07 キヤノン株式会社 マイクロ波プラズマcvd法による機能性堆積膜形成装置
CH674480A5 (ko) * 1987-10-02 1990-06-15 Franz Gaehler
CH675596A5 (ko) * 1987-12-16 1990-10-15 Schweiter Ag Maschf

Also Published As

Publication number Publication date
EP0587724A1 (en) 1994-03-23
ATE144561T1 (de) 1996-11-15
WO1992021789A1 (en) 1992-12-10
EP0587724A4 (en) 1994-06-01
DE69214811T2 (de) 1997-02-20
US5698037A (en) 1997-12-16
US5252134A (en) 1993-10-12
DE69214811D1 (de) 1996-11-28
JPH06508402A (ja) 1994-09-22
ES2093836T3 (es) 1997-01-01
EP0587724B1 (en) 1996-10-23
US5505782A (en) 1996-04-09

Similar Documents

Publication Publication Date Title
KR100253612B1 (ko) 비기체 소스로부터의 화학 증기를 반도체 처리 유닛에 공급하는 일체화된 통합형 화학 증기 전송 장치 및 모듈
KR100230693B1 (ko) 처리장치및처리방법
KR100244439B1 (ko) 소수화처리방법및장치
KR100386217B1 (ko) 액체재료 기화방법 및 장치
US5577552A (en) Temperature controlling device for mask and wafer holders
JPH0468391B2 (ko)
US5372754A (en) Liquid vaporizer/feeder
KR100247679B1 (ko) 액체 소스로 부터 발생된 화학 증기의 통합형 전송장치의 화학증기 전송 모듈
KR101656333B1 (ko) 소수화 처리 장치, 소수화 처리 방법 및 기억 매체
US5575854A (en) Semiconductor treatment apparatus
JP7132631B2 (ja) 流体制御装置
JP7097085B2 (ja) 流体制御装置
WO1991019017A1 (en) Method and system for delivering liquid reagents to processing vessels
EP0498622B1 (en) Liquid vaporizer-feeder
US20030170949A1 (en) Substrate processing apparatus and substrate processing method
US5731508A (en) Calibrating gas generator
CN109898071B (zh) 用于批量汽化前体的系统和方法
EP0622475A1 (en) Method and apparatus for degassing semiconductor processing liquids
JP2005511972A (ja) 電気的液化石油ガスベーパライザー
KR0121565Y1 (ko) 습식반응장치
KR102277543B1 (ko) 기판 처리 장치 및 방법
JP3280507B2 (ja) 液体材料気化供給装置
JPH06214658A (ja) 温度調節機能付きマスフローコントローラ
CN117721442A (zh) 前驱体源加热补液装置、半导体工艺设备和补液控制方法
KR20060075514A (ko) Teos 공급 시스템

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee