KR0181532B1 - 반도체 웨이퍼의 제조 방법 - Google Patents

반도체 웨이퍼의 제조 방법 Download PDF

Info

Publication number
KR0181532B1
KR0181532B1 KR1019940700637A KR19940700637A KR0181532B1 KR 0181532 B1 KR0181532 B1 KR 0181532B1 KR 1019940700637 A KR1019940700637 A KR 1019940700637A KR 19940700637 A KR19940700637 A KR 19940700637A KR 0181532 B1 KR0181532 B1 KR 0181532B1
Authority
KR
South Korea
Prior art keywords
temperature
water
atmosphere
gas
ppm
Prior art date
Application number
KR1019940700637A
Other languages
English (en)
Inventor
마사타카 호라이
나오시 아다치
히데시 니시카와
마사카즈 사노
Original Assignee
모리 레이지로
스미토모 시틱스 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 모리 레이지로, 스미토모 시틱스 가부시키가이샤 filed Critical 모리 레이지로
Application granted granted Critical
Publication of KR0181532B1 publication Critical patent/KR0181532B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/322Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to modify their internal properties, e.g. to produce internal imperfections
    • H01L21/3221Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to modify their internal properties, e.g. to produce internal imperfections of silicon bodies, e.g. for gettering
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S117/00Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
    • Y10S117/906Special atmosphere other than vacuum or inert
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/003Anneal
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/022Controlled atmosphere
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/024Defect control-gettering and annealing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/909Controlled atmosphere

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Photovoltaic Devices (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명의 목적은 반도체 웨이퍼의 제조방법을 제공하는데 있으며, 수소분위기 처리에서 저온 범위로 미소 거칠기의 열화는 고온 범위내에서 전기 활성 불순물의 외부 확산이 해결됨으로 해서 고유 저항은 증가하고, 수소 가스 분위기내의 열처리에서 물, 산소 등의 대기에서 가스 분자의 농도는 물분자 변환내에서 5ppm 이하로 되며, 기판 표면내의 반응은 균일하지 않게 산화되고, 열화된 미소 거칠기는 억제되어, Si 기판내에 포함되는 전기 활성 불순물과 같은 종류의 불순물은 대기중으로 혼합되고 Si 기판 표면의 부근에서 불순물의 외부 확산은 고유 저항의 변화를 예방한다.

Description

[발명의 명칭]
반도체 웨이퍼의 제조 방법
[기술분야]
본 발명은 실리콘 등의 반도체 웨이퍼의 제조 방법에 관한 것으로, 특히 반도체 소자의 활성 영역인 웨이퍼 표면 부근의 결정 품질을 향상시킴으로써 반도체 소자의 특성 및 수율을 현저히 향상시킬 수 있는 반도체 웨이퍼의 제조 방법에 관한 것이다.
[배경기술]
LSI, VLSI 등의 MOS 형 대규모 집적 회로 장치의 기본 구조는 MOS형 트랜지스터 및 MOS형 커패시터 등으로 구성되고, 상기 트랜지스터의 게이트부는 실리콘 기판을 열산화하여 성장시킨 수백Å의 얇은 열산화막(게이트 산화막)이 사용된다.
그 게이트 산화막의 절연 내압 특성은 사용되는 실리콘 기판의 표면 부근의 결정 품질에 크게 의존하고 있고, LSI, VLSI 등의 대규모 집적 회로 장치의 신뢰성 및 수율에도 크게 영향을 주게 된다.
이와같은 문제점들을 해결하기 위한 방법으로서, 예컨대 일본국 특개소 60-231365호, 특개소 61-193456호, 특개소 61-193458호, 특개소 61-193459호, 특개소 62-210627호 및 특개평 2-177542호의 공보에 개시된 바와같이, 실리콘 기판을 수소 분위기중에서 950℃ 내지 1200℃의 온도로 5분 이상 가열함으로써 실리콘 기판 표면상에 존재하는 실리콘 산화막 및 실리콘 산화물 입자와 실리콘 기판 표면 부근에 존재하는 산소 침전물을 수소 환원 효과에 의해 제거하는 수소 가스 분위기중에서 반도체 기판을 열처리하는 기술이 제안되고 있다.
상기 실리콘 기판을 수소 분위기 내에서 가열할 때 대기중에 산소 및 물 등의 공기중의 소량의 불순물이 혼합됨으로써 실리콘 웨이퍼 표면이 흐려지고 미소 거칠기(micro-roughness)를 열화시키는 경우가 있다. 여기에서 미소 거칠기(micro-roughness)란 수Å 내지 수십 Å 정도의 미세한 표면 거칠기를 의미한다.
상기 미소 거칠기의 열화는 수소 분위기 열처리의 유효 온도 범위, 특히 950℃ 내지 1050℃의 저온 범위내에서 현저히 나타날 수 있다.
이러한 미소 거칠기의 열화에 기인하여 개선하고자 하는 Gol 특성이 반대로 저하되는 문제가 있었다.
이와같은 수소 분위기 열처리를 장치의 공정 이전의 처리로서 사용하는 경우에, 실리콘 기판의 표면 부근의 결정 품질을 개선하기 위해 보다 유효한 고온 범위(1050℃ 내지 1200℃)를 이용할 수 있다.
이러한 온도 범위에서는 수소 환원 효과 이외에 CZ-Si 기판내에 포함되는 산소 불순물의 외부 확산에 기인하여 실리콘 기판 표면의 결정 특성이 더욱 개선되는 장점이 있다.
그러나, 붕소(B)와 인(P)과 같은 전기적으로 활성인 불순물도 동시에 외부로 확산하여, 표면 부근에서 자항률이 증가하게 되어, 소망의 저항률을 얻을 수 없게되는 또다른 문제가 발생된다.
본 발명의 목적은 수소 분위기 처리에 있어서 저온 범위 내에서의 미소 거칠기의 열화 및 고온 범위 내에서의 전기적으로 활성인 불순물의 외부 확산에 의해 저항률이 증가하는 문제를 해결할 수 있는 반도체 웨이퍼의 제조 방법을 제공하는데 있다.
[발명의 상세한 설명]
수소 분위기 처리에 있어서 저온 범위 내에서의 미소 거칠기의 열화 및 고온범위 내에서의 저항률의 증가를 해결하는 반도체 웨이퍼의 제조 방법을 제공하기 위해서, 수소 분위기 처리 조건하에서 많은 연구를 실행한 결과, 본 발명자들은 수소 가스 분위기중에 물, 산소 등의공기중의 가스 분자의 농도를 물확산에 의해 5ppm이하로 함으로써 실리콘 기판 표면이 불균일하게 산화되고 미소 거칠기가 열화되는 등의 반응을 억제시킬 수 있는 열처리 방법을 발견하여, Si 기판내에 포함되는 전기적으로 활성인 불순물과 같은 종류의 불순물 가스를 이 분위기 내에 혼합함으로써 저항률의 변동을 방지하고 Si 기판 표면 부근에서 불순물의 외부 확산을 방지할 수 있게 되어 본 발명을 완성하였다.
즉, 본 발명은 수소 가스 분위기 내에서 반도체 기판을 열처리하는 방법으로서 가열 분위기 내에서 물의 가스 분자 농도를 5ppm이하로 하여 열처리하는 것을 특징으로 하는 반도체 웨이퍼의 제조 방법을 제공한다.
특히, 본 발명은 CZ-Si 반도체 기판을 에피택셜 반응로, 저압 CVD로 등을 사용하여 수소 가스 분위기중에서 가열하는 반도체 웨이퍼의 제조 방법에 있어서, 열처리중의 분위기 중에 물, 산소 등의 공기중의 가스 분자를 물확산에 의해 5ppm 이하로 하여 열처리하는 것을 특징으로 한다.
본 발명은 상술한 구성에 있어서, 처리되는 반도체 기판내에 포함되는 전기적으로 활성인 불순물과 같은 종류의 불순물을 포함하는 가스를 소정의 농도로 상기 가열 분위기중에 혼합하는 단계를 포함하는 것을 특징으로 하는 반도체 웨이퍼의 제조 방법을 제공한다.
본 발명은 수소 가스 분위기중에서 가열 처리에 의해 CZ-Si 기판 표면에 존재하는 Si 이산화물 입자 및 CZ-Si 기판 표면 부근에 존재하는 미세한 산소 침전물을 수소 환원에 의하여 소멸시킬 수 있다.
또한, 가열중의 분위기중에서 물 분자 확산으로 물, 산소 등의 공기중의 가스 분자의 농도를 5ppm 이하로 함으로써 실리콘 기판 표면이 불균일하게 산화된 후 수소 환원되어서 실리콘 기판 표면의 미소 거칠기를 열화시키는 등의 반응을 억제시킬 수 있다.
일반적으로 특정 온도에서 휘발성의 불순물을 N(atoms/㎤)의 농도로 포함하는 고체 형상과 평형 상태에 있는 기체 형상의 불순물의 부분 압력 P(atom)은 헨리의 법칙에 따라서 다음의 식으로 주어진다.
N=H·P
여기서, H는 온도와 고체 및 불순물의 종류에 따라서 결정되는 정수이다.
예컨데, 1100℃에서 실리콘 단결정과, 실리콘중의 B 및 기체 형상중의 B2O3의 경우는 H가 다음식으로 주어진다.
H=2×1025atoms/㎤·atom
따라서, 실리콘 기판내에 포함되는 전기적으로 활성인 불순물, 예컨대 P, B, As, Sb 등과 동일한 종류의 불순물을 포함하는 가스의 부분 압력이 가열 온도로 상술한 관계로 만족하는 부분 압력으로 혼합됨으로써, 실리콘 기판 표면 부근에서의 불순물의 외부 확산 또는 증발이 억제되기 때문에 실리콘 기판 표면의 부근에서의 저항률의 변동을 방지할 수 있다.
본 발명에서, 수소 분위기의 열처리에 있어서 공기중의 물 또는 산소 분자의 농도를 물 분자 변환에 의해 5ppm 이하로 하는 방법이 공지된 열처리 반응로내에서 영향을 받을 수 있고, 특별히 한정되어 있지 않을지라도, 예컨대 일반적인 에피택셜 반응로를 사용할 때 반도체 기판의 로딩시에 대기 개방에 영향을 받기 때문에 물 분자의 흡수를 염려할지라도 서셉터상에 반도체 기판을 위치시킬때 서셉터의 온도를 300℃ 이상으로 유지시킴으로써 대기 개방시의 물 분자의 흡수를 억제시킬 수 있게 되어, 대기 개방시에 물 분자 흡수가 억제될 수 있다.
또한 에피택셜 반응로의 경우에 서셉터와 같은 테이블상에 반도체 기판을 위치시킨 이후 기판을 로딩시킬때의 내부 온도는 실온에서 300℃ 이하가 되고, 반응로내의 대기 가스를 질소 가스로 치환하고 수소 가스로 다시 치환하면 온도는 750℃ 내지 800℃로 상승되며, 물 분자를 흡착 및 치환하기 위하여 10 내지 30분 동안 유지시킨 이후에 온도는 물 분자 및 산소 가스 분자 농도를 물 분자 변환에 의해 5ppm 이하가 되도록 소정의 온도로 상승시킨다.
또한, 저압 CVD로 등의 에피택셜 반응로 이외의 H2처리가 가능한 반응로에 의해 수소 분위기 열처리를 실시하는 경우 및 진공 CVD 반응로와 같이 기판의 로딩시에 내부 온도가 300℃인 반응로의 경우에도 300℃ 내지 800℃의 온도로 Si 웨이퍼를 로딩한 후 충분한 시간동안 가스 치환함으로써, Si 웨이퍼와의 반응을 거의 발생시키지 않고 대기중에서 물 분자의 농도를 5ppm 이하로 할 수 있다.
[도면의 간단한 설명]
제1도는 온도와 난반사광의 세기와의 관계를 나타내는 그래프이다.
제2도는 온도와 게이트 산화막 내압 수율과의 관계를 나타내는 그래프이다.
[본 발명을 실행하기 위한 실시예]
[실시예 1]
이하, 수소 분위기 열처리에 있어서 미스 거칠기와 물 분자 농도 사이의 관계를 실시예 1에 따라서 설명한다.
면 방위(100)로 경면 마무리한 CZ-Si 단결정 웨이퍼를 0ppm, 5ppm, 10ppm 및 20ppm의 물 농도를 함유하는 수소 가스 분위기 내에서 1000℃, 1050℃, 1100℃ 및 1150℃의 4가지의 온도로 각각 10분동안 가열처리 했다.
레이저 입자 카운터를 사용하여 상기 Si 웨이퍼의 미소 거칠기를 평가한 결과를 제1도에 도시하고 있다. 제1도를 참조하면, 세로 좌표는 입사 레이저 광의 세기에 대해서 난반사광의 세기의 비율을 나타내고 있고, 이 값이 클수록 Si 웨이퍼 표면의 미소 거칠기가 크다.
제1도에서 기호 ●는 0ppm의 물 농도를 나타내고, 기호 ○는 5ppm의 물 농도를 나타내며, 기호 □는 10ppm의 물 농도를 나타내고, 기호 △는 20ppm의 물 농도를 나타낸다.
제1도의 결과로부터, 저온 일수록 또는 물의 농도가 클수록 Si 웨이퍼 표면의 미소 거칠기가 열화하는 것을 확인했다. 또한 물 대신에 산소를 미소량 혼합하는 경우에도 수소와의 반응에 의하여 물이 생성되기 때문에 동일하게 미소 거칠기의 열화가 발생한다.
상술한 바와같이, 가열 수소 분위기중의 물 또는 산소 농도를 물 분자 변환에 의해 5ppm이하로 낮추므로써, 저온 범위에서의 미소 거칠기의 열화를 방지할 수 있다.
[실시예 2]
이하, 실리콘 웨이퍼 표면의 미소 거칠기와 MOS 다이오드의 게이트 산화막 내압 특성간의 관계를 실시예 2에 따라서 설명한다.
실시예 1에서 처리된 실리콘 웨이퍼를 950℃에서 35분간 드라이 산소중에서 열산화하여 약250Å의 두께를 갖는 게이트 산화막을 형성한 후, Poly-Si 막을 저압 CVD로 내에서 약 4000Å 퇴적하고, 다시 POCL3분위기에서 Poly-Si 막중에 P를 도핑했다.
이들 웨이퍼를 다시 레지스트 코트 마스크 노광, 현상 및 에칭 처리를 행하여 면저 8㎟의 Poly-Si 게이트 전극을 형성했다.
이들 MOS 다이오드의 게이트 산화막 내압의 수율은 제2도에 도시하고 있다. 정상(합격) 또는 이상(불합격)의 판정은 8Mv/cm 미만의 전계 강도에서 10㎂ 이상의 전류가 흐르는 MOS 다이오드를 불량으로 평가하였다.
제2도에서는 실시예 1에서의 미소 거칠기의 열호가 현저한 실리콘 웨이퍼 일수록 게이트 산화막 내압의 수율이 낮은 것을 알 수 있다.
이것으로부터 실리콘 웨이퍼 표면의 미소 거칠기가 열화됨으로써 게이트 산화막 내압 특성이 저하되는 것을 확인할 수 있다.
또한, 가열 수소 분위기중의 물 또는 산소의 농도를 물 분자 변환에 의해 5ppm 이하로 감소시킴으로써 미소 거칠기의 열화가 방지되고, 게이트 산화막 내압특성의 열화를 방지할 수 있다.
[실시예 3]
실시예 3에 따라서 수소 분위기 열처리에 있어서 분위기중의 물 또는 산소분자의 농도를 5ppm 이하로 감소시키는 방법의 일예를 설명한다.
물 분자의 농도를 반응로 배기부에 설치된 샘플링 포트에 대기 질량 분석계를 접속하는 것에 의해 연속적으로 측정했다.
가열로로서 100리터 용적의 수직형의 에피택셜 반응로가 사용된다. 실온에서 Si 웨이퍼를 카본 서셉터상에 배치시킨 이후 벨형 용기(bell jar)를 폐쇄하고, N2 가스의 약 100 liter/min으로 반응로내의 대기 가스를 치환하고, 계속해서 H2가스의 약 100 liter/min으로 5분내에 대기 가스를 치환했다. 이 단계에서 반응로내의 물 분자 농도는 5ppm 이하가 되었다.
다음에, H2가스를 약 90liter/min으로 유입시키면서 약 20분간에 온도를 1000℃로 상승시킨 결과, 온도 상승과 함께 물 분자 농도가 증가하여 약 20ppm의 최대값에 도달한 이후에는점차 감소하지만, 5ppm 이하로 감소하는데는 1000℃에 도달한 이후 10분 이상이 더 소요되었다.
온도 상승에 의해 발생되는 물 분자는 주 반응로의 구성요소인 카본 서셉터 및 석영 벨형 용기의 표면에 대기 개방시에 흡착하여 온도 상승에 의해 이탈된 것이다.
이것은 카본 서셉터로부터의 탈가스 온도는 약 100℃를 피크 온도로 하여 50℃∼250℃ 사이에 있음을 온도 이탈 분석법에 의해 명백해졌다.
또한 탈가스량은 대기 개방 시간에 의존하여 대기 개방 시간이 길어질수록 탈가스량도 증가되는 사실도 명백해졌다.
상기 사실로부터 웨이퍼를 반응로에 위치시킬때 서셉터의 온도를 300℃로 유지시킴으로써 대기 개방시의 물 분자의 흡수를 억제할 수 있다.
또, 온도를 300℃로 유지하기가 곤란한 경우에는 가능한한 대기 개방 시간을 짧게 하고, 온도를 750℃∼800℃로 상승시킨 후 10 내지 30분간 온도를 유지시킴으로서 물 분자를 이탈 및 치환한 후 소망의 가열 온도로 상승시키는 방법에 의해서도 물 분자의 농도를 5ppm 이하로 유지시킬 수도 있다.
상술한 유지 온도(750℃∼800℃)에서 비록 대기중의 물 분자의 농도가 5ppm을 초과하지만, 이 온도에서 Si 웨이퍼는 산화막으로 싸여 있고 H2및 물 분자와의 반응은 거의 진행되지 않는다.
또한 저압 CVD 반응로 등에 의하여 수소 분위기 열 처리를 실시하는 경우에도 예컨대 600℃∼800℃의 온도로 Si 웨이퍼를 로딩한 후 충분한 시간 동안 가스 치환함으로써 Si 웨이퍼와의 반응을 거의 발생시키지 않고도 대기중의 물 분자 농도를 5ppm 이하로 억제시킬 수 있다.
[산업상 이용분야]
본 발명은 실리콘 웨이퍼 기판을 물, 산소 등의 대기중의 불순물을 물 분자확산으로 5ppm 이하로 하고, 다시 실리콘 기판에 포함되는 전기적으로 활성인 불순물과 동일한 종류의 불순물 가스를 소정의 농도로 포함되어 있는 수소 분위기에서 가열 처리함으로써, (1) 950℃∼1050℃에서 발생하는 미소 거칠기의 열화를 방지하고, (2) 표면 부근에서의 저항률의 변동을 방지하는 효과를 얻게된다.
본 발명은 공지 구성의 가열 처리 반응로에 적용될 수 있으며, 반도체 장치의 활성 영역인 웨이퍼 표면 부근의 결정 품질을 향상시킴으로써 반도체 장치의 특성 및 수율을 현저히 개선할 수 있다.

Claims (6)

  1. 수소 가스 분위기 내에서 반도체 기판을 열처리하는 반도체 웨이퍼의 제조 방법에 있어서, 가열 분위기 내에서 물 분자 및 산소 가스 분자 농도를 물 분자 변환에 의해 5ppm 이하로 하여 열처리하는 것을 특징으로 하는 반도체 웨이퍼의 제조방법.
  2. 제1항에 있어서, 상기 열처리 온도는 950℃∼1200℃인 것을 특징으로 하는 반도체 웨이퍼의 제조 방법.
  3. 제1항에 있어서, 반도체 기판이 배치되는 테이블의 온도는 300℃ 이상으로 유지되는 것을 특징으로 하는 반도체 웨이퍼의 제조 방법.
  4. 제1항에 있어서, 기판의 로딩시에 내부 온도가 실온에서 300℃ 이하인 반응로에서 반도체 기판을 테이블상에 배치한 후 반응로내의 대기 가스를 질소 가스로 치환하고, 다시 수소 가스로 치환함으로써 온도를 상승시키며, 온도가 750℃∼800℃에 도달한 후 10∼30분간 온도를 유지시킴으로써 물 분자를 흡착시키고, 그후 온도를 물 분자 및 산소 가스 분자의 농도를 물 분자 변환에 의해 5ppm 이하가 되도록 소정의 온도로 상승시키는 것을 특징으로 하는 반도체 웨이퍼의 제조 방법.
  5. 제1항에 있어서, 기판의 로딩시에 내부 온도가 300℃ 이상인 반응로에서 300℃∼800℃의 온도에서 반도체 기판을 로딩한 후에 가스 치환을 실행하는 것을 특징으로 하는 반도체 웨이퍼의 제조 방법.
  6. 제3항에 있어서, 기판의 로딩시에 내부 온도가 실온에서 300℃ 이하인 반응로에서 반도체 기판을 테이블상에 배치한 후 반응로내의 대기 가스를 질소 가스로 치환하고, 다시 수소 가스로 치환함으로써 온도를 상승시키며, 온도가 750℃∼800℃에 도달한 후 10∼30분간 온도를 유지시킴으로써 물 분자를 흡착시키고, 그후 온도를 물 분자 및 산소 가스 분자의 농도를 물 분자 변환에 의해 5ppm이하가 되도록 소정의 온도로 상승시키는 것을 특징으로 하는 반도체 웨이퍼의 제조 방법.
KR1019940700637A 1992-06-29 1993-06-25 반도체 웨이퍼의 제조 방법 KR0181532B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP92-196576 1992-06-29
JP4196576A JP2560178B2 (ja) 1992-06-29 1992-06-29 半導体ウェーハの製造方法
PCT/JP1993/000865 WO1994000872A1 (en) 1992-06-29 1993-06-25 Thermal treatment of a semiconductor wafer

Publications (1)

Publication Number Publication Date
KR0181532B1 true KR0181532B1 (ko) 1999-04-15

Family

ID=16360044

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940700637A KR0181532B1 (ko) 1992-06-29 1993-06-25 반도체 웨이퍼의 제조 방법

Country Status (7)

Country Link
US (1) US5508207A (ko)
EP (1) EP0603358B1 (ko)
JP (1) JP2560178B2 (ko)
KR (1) KR0181532B1 (ko)
AT (1) ATE195611T1 (ko)
DE (1) DE69329233T2 (ko)
WO (1) WO1994000872A1 (ko)

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2800743B2 (ja) * 1995-11-15 1998-09-21 日本電気株式会社 薄膜トランジスタの製造方法
US5985740A (en) 1996-01-19 1999-11-16 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device including reduction of a catalyst
JP3645378B2 (ja) 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6478263B1 (en) * 1997-01-17 2002-11-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and its manufacturing method
JP3645379B2 (ja) * 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3729955B2 (ja) 1996-01-19 2005-12-21 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3645380B2 (ja) 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 半導体装置の作製方法、情報端末、ヘッドマウントディスプレイ、ナビゲーションシステム、携帯電話、ビデオカメラ、投射型表示装置
US5888858A (en) 1996-01-20 1999-03-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and fabrication method thereof
US6180439B1 (en) 1996-01-26 2001-01-30 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating a semiconductor device
US7056381B1 (en) 1996-01-26 2006-06-06 Semiconductor Energy Laboratory Co., Ltd. Fabrication method of semiconductor device
US6465287B1 (en) 1996-01-27 2002-10-15 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating a semiconductor device using a metal catalyst and high temperature crystallization
US6100562A (en) 1996-03-17 2000-08-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
CA2194653A1 (en) * 1997-01-08 1998-07-08 Junichi Matsushita Hydrogen heat treatment method of silicon wafers using a high-purity inert substitution gas
US20070122997A1 (en) * 1998-02-19 2007-05-31 Silicon Genesis Corporation Controlled process and resulting device
US6245161B1 (en) * 1997-05-12 2001-06-12 Silicon Genesis Corporation Economical silicon-on-silicon hybrid wafer assembly
KR100468665B1 (ko) * 1997-05-16 2005-08-29 삼성전자주식회사 산화막형성방법
US6291868B1 (en) * 1998-02-26 2001-09-18 Micron Technology, Inc. Forming a conductive structure in a semiconductor device
WO2000013226A1 (en) * 1998-09-02 2000-03-09 Memc Electronic Materials, Inc. Process for preparing an ideal oxygen precipitating silicon wafer
US6881644B2 (en) * 1999-04-21 2005-04-19 Silicon Genesis Corporation Smoothing method for cleaved films made using a release layer
US6171965B1 (en) 1999-04-21 2001-01-09 Silicon Genesis Corporation Treatment method of cleaved film for the manufacture of substrates
US6204151B1 (en) 1999-04-21 2001-03-20 Silicon Genesis Corporation Smoothing method for cleaved films made using thermal treatment
US6287941B1 (en) 1999-04-21 2001-09-11 Silicon Genesis Corporation Surface finishing of SOI substrates using an EPI process
US6897084B2 (en) * 2001-04-11 2005-05-24 Memc Electronic Materials, Inc. Control of oxygen precipitate formation in high resistivity CZ silicon
US20030194877A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Integrated etch, rinse and dry, and anneal method and system
US7542197B2 (en) * 2003-11-01 2009-06-02 Silicon Quest Kabushiki-Kaisha Spatial light modulator featured with an anti-reflective structure
US7354815B2 (en) * 2003-11-18 2008-04-08 Silicon Genesis Corporation Method for fabricating semiconductor devices using strained silicon bearing material
KR100588217B1 (ko) * 2004-12-31 2006-06-08 동부일렉트로닉스 주식회사 반도체 소자의 게이트 산화막 형성 방법
US7780862B2 (en) 2006-03-21 2010-08-24 Applied Materials, Inc. Device and method for etching flash memory gate stacks comprising high-k dielectric
US8722547B2 (en) * 2006-04-20 2014-05-13 Applied Materials, Inc. Etching high K dielectrics with high selectivity to oxide containing layers at elevated temperatures with BC13 based etch chemistries
KR100765639B1 (ko) * 2006-07-03 2007-10-10 에스. 오. 이. 떼끄 씰리꽁 오 냉쉴라또흐 떼끄놀로지 웨이퍼의 표면 거칠기 개선 방법
US9362439B2 (en) 2008-05-07 2016-06-07 Silicon Genesis Corporation Layer transfer of films utilizing controlled shear region
US8293619B2 (en) 2008-08-28 2012-10-23 Silicon Genesis Corporation Layer transfer of films utilizing controlled propagation
US8993410B2 (en) 2006-09-08 2015-03-31 Silicon Genesis Corporation Substrate cleaving under controlled stress conditions
US7811900B2 (en) * 2006-09-08 2010-10-12 Silicon Genesis Corporation Method and structure for fabricating solar cells using a thick layer transfer process
US20090004458A1 (en) * 2007-06-29 2009-01-01 Memc Electronic Materials, Inc. Diffusion Control in Heavily Doped Substrates
US20090004426A1 (en) * 2007-06-29 2009-01-01 Memc Electronic Materials, Inc. Suppression of Oxygen Precipitation in Heavily Doped Single Crystal Silicon Substrates
US8330126B2 (en) * 2008-08-25 2012-12-11 Silicon Genesis Corporation Race track configuration and method for wafering silicon solar substrates
JP5625239B2 (ja) 2008-12-25 2014-11-19 信越半導体株式会社 貼り合わせウェーハの製造方法
US8329557B2 (en) * 2009-05-13 2012-12-11 Silicon Genesis Corporation Techniques for forming thin films by implantation with reduced channeling

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1390183A (en) * 1971-03-17 1975-04-09 Morrell Mills Co Ltd Mechanical handling
JPS5017574A (ko) * 1973-06-15 1975-02-24
JPS5429560A (en) * 1977-08-10 1979-03-05 Hitachi Ltd Gas phase growth method for semiconductor
US4151007A (en) * 1977-10-11 1979-04-24 Bell Telephone Laboratories, Incorporated Hydrogen annealing process for stabilizing metal-oxide-semiconductor structures
US4154873A (en) * 1977-11-10 1979-05-15 Burr-Brown Research Corporation Method of increasing field inversion threshold voltage and reducing leakage current and electrical noise in semiconductor devices
US4192720A (en) * 1978-10-16 1980-03-11 Exxon Research & Engineering Co. Electrodeposition process for forming amorphous silicon
US4431708A (en) * 1979-12-19 1984-02-14 The United States Of America As Represented By The United States Department Of Energy Annealed CVD molybdenum thin film surface
US4312681A (en) * 1980-04-23 1982-01-26 International Business Machines Corporation Annealing of ion implanted III-V compounds in the presence of another III-V
DE3142589A1 (de) * 1981-10-27 1983-05-05 Siemens AG, 1000 Berlin und 8000 München Verfahren zum tempern von aus metall, silizium und aus metall/silizium bestehenden schichten auf substraten in extrem trockener inertgasatmosphaere
JPS58501927A (ja) * 1981-12-31 1983-11-10 インタ−ナシヨナル・ビジネス・マシ−ンズ・コ−ポレ−シヨン シリコン・ウエハ中の酸素析出を減少させるための方法
US4548654A (en) * 1983-06-03 1985-10-22 Motorola, Inc. Surface denuding of silicon wafer
JPS60249336A (ja) * 1984-05-24 1985-12-10 Komatsu Denshi Kinzoku Kk 半導体シリコン基板の処理方法
JPS61150340A (ja) * 1984-12-25 1986-07-09 Fujitsu Ltd 化合物半導体装置の製造方法
EP0192143B1 (en) * 1985-02-09 1996-01-10 Asahi Kasei Kogyo Kabushiki Kaisha Permeable polymer membrane for desiccation of gas
JPS61193459A (ja) * 1985-02-21 1986-08-27 Toshiba Corp シリコンウエハの処理方法
DE3689735T2 (de) * 1985-08-02 1994-06-30 Semiconductor Energy Lab Verfahren und Gerät zur Herstellung von Halbleitervorrichtungen.
JPH01205417A (ja) * 1988-02-12 1989-08-17 Hitachi Ltd 半導体装置の製造方法
JPH02102520A (ja) * 1988-10-12 1990-04-16 Hitachi Ltd 気相エピタキシヤル成長方法
US5213670A (en) * 1989-06-30 1993-05-25 Siemens Aktiengesellschaft Method for manufacturing a polycrystalline layer on a substrate
JP2523380B2 (ja) * 1989-10-05 1996-08-07 東芝セラミックス株式会社 シリコンウエハの清浄化方法
JP3032565B2 (ja) * 1990-10-31 2000-04-17 株式会社東芝 半導体装置の製造方法
US5194928A (en) * 1991-01-14 1993-03-16 International Business Machines Corporation Passivation of metal in metal/polyimide structure
KR940009597B1 (ko) * 1991-08-22 1994-10-15 삼성전자 주식회사 반도체장치의 게이트산화막 형성법

Also Published As

Publication number Publication date
EP0603358B1 (en) 2000-08-16
EP0603358A1 (en) 1994-06-29
US5508207A (en) 1996-04-16
ATE195611T1 (de) 2000-09-15
JPH0620896A (ja) 1994-01-28
JP2560178B2 (ja) 1996-12-04
DE69329233D1 (de) 2000-09-21
WO1994000872A1 (en) 1994-01-06
DE69329233T2 (de) 2001-02-08

Similar Documents

Publication Publication Date Title
KR0181532B1 (ko) 반도체 웨이퍼의 제조 방법
US7105055B2 (en) In situ growth of oxide and silicon layers
EP0637063B1 (en) Method for depositing silicon nitride on silicium surfaces
EP0264774B1 (en) Improved post-oxidation anneal of silicon dioxide
KR940007587B1 (ko) 반도체장치의 제조방법
US7011717B2 (en) Method for heat treatment of silicon wafers and silicon wafer
KR940006183A (ko) 반도체기판 및 그 처리방법
KR20010031923A (ko) 실리콘웨이퍼의 열처리방법 및 실리콘웨이퍼
US6303520B1 (en) Silicon oxynitride film
KR100396609B1 (ko) 반도체기판의처리방법
JP2001521284A (ja) 高速昇降温処理(rtp)システムにおける半導体ウェーハの酸化方法
US5189508A (en) Silicon wafer excelling in gettering ability and method for production thereof
JP2003533881A (ja) 結晶または結晶類似の構造体中の欠陥輪郭を調節する方法
KR20000011682A (ko) Si웨이퍼및그제조방법
KR100194489B1 (ko) 반도체기판의 열처리방법
Green et al. The influence of silicon heat treatments on the minority carrier generation and the dielectric breakdown in MOS structures
JPH06244174A (ja) 絶縁酸化膜の形成方法
JP3210510B2 (ja) 半導体装置の製造方法
KR0137550B1 (ko) 게이트 산화막 형성 방법
KR100312971B1 (ko) 실리콘 웨이퍼내의 산소 불순물 농도 감소방법
JP2560178C (ko)
JPH04167433A (ja) 半導体装置の製造方法
JP3407345B2 (ja) シリコン基板の酸素濃度測定方法
KR100269279B1 (ko) 반도체장치제조방법
JPH06163557A (ja) シリコンウェーハ

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J2X1 Appeal (before the patent court)

Free format text: APPEAL AGAINST DECISION TO DECLINE REFUSAL

B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121130

Year of fee payment: 15

EXPY Expiration of term