KR0177537B1 - 표면 확산에 의한 높은 종횡비 및 낮은 비저항의 라인/비어 - Google Patents

표면 확산에 의한 높은 종횡비 및 낮은 비저항의 라인/비어 Download PDF

Info

Publication number
KR0177537B1
KR0177537B1 KR1019950024042A KR19950024042A KR0177537B1 KR 0177537 B1 KR0177537 B1 KR 0177537B1 KR 1019950024042 A KR1019950024042 A KR 1019950024042A KR 19950024042 A KR19950024042 A KR 19950024042A KR 0177537 B1 KR0177537 B1 KR 0177537B1
Authority
KR
South Korea
Prior art keywords
metal
germanium
substrate
gas
flowing
Prior art date
Application number
KR1019950024042A
Other languages
English (en)
Other versions
KR960009109A (ko
Inventor
베이슨트 죠쉬 라지브
잼나다스 테이워니 마누
벤카트라만 스리크리쉬난 크리스
Original Assignee
윌리엄 티. 엘리스
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 윌리엄 티. 엘리스, 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 윌리엄 티. 엘리스
Publication of KR960009109A publication Critical patent/KR960009109A/ko
Application granted granted Critical
Publication of KR0177537B1 publication Critical patent/KR0177537B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53219Aluminium alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53247Noble-metal alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/095Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00 with a principal constituent of the material being a combination of two or more materials provided in the groups H01L2924/013 - H01L2924/0715
    • H01L2924/097Glass-ceramics, e.g. devitrified glass
    • H01L2924/09701Low temperature co-fired ceramic [LTCC]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12486Laterally noncoextensive components [e.g., embedded, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12528Semiconductor component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12674Ge- or Si-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12771Transition metal-base component
    • Y10T428/12806Refractory [Group IVB, VB, or VIB] metal-base component
    • Y10T428/12826Group VIB metal-base component
    • Y10T428/1284W-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12771Transition metal-base component
    • Y10T428/12861Group VIII or IB metal-base component
    • Y10T428/12889Au-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12771Transition metal-base component
    • Y10T428/12861Group VIII or IB metal-base component
    • Y10T428/12896Ag-base component

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Abstract

본 발명은 전계 효과 트랜지스터(FET), 쌍극 트랜지스터 또는 조합된 쌍극/상보형 금속 산화물 규소 구조물(combined Bipolar/Complementary Metal Oxide Silicon structure, BiCMOS)을 사용하고, 높은 종횡비의 라인 및 비어를 포함하는 구조물에 금속 및 합금의 증착을 수행하기 위하여 저온 게르마늄 가스 플로우를 사용하는 것인 회로 제작을 위한 방법 및 구조물께 관한 것이다. 게르마늄 가스 플로우를 사용함으로써 게르마늄(Ge)은 공극 및 측면 시임을 방지하고, CuGe층의 패시베이션을 제공할 수도 있는 표면 반응에 도입될 것이다. 패시베이션 또는 내마모성 적용에 하드 캡이 필요할 경우, GeH4에 이어 WF6를 사용하여 동일 반응계 내에서 WxGey의 하드 캡을 생성시킬 수 있다. 또한, 저압 및 고온(즉, 450'c 미만)을 사용함으로써 하부에 있는 금속을 열화시킴이 없이 높은 종횡비의 비어/라인(종횡비 3이상)을 충전할 수 있다.

Description

표면 확산에 의한 높은 종횡비 및 낮은 비저항의 라인/비어
제1(a)-1(b)도는 본 발명의 제1국면에 따른 반도체 기판의 단면도.
제2(a)-2(b)도는 본 발명의 제2국면에 따른 반도체 기판의 단면도.
제3(a)-3(b)도는 스퍼터링 공정 도중 직면하는 공극을 GeH4의 반응에 의해 봉쇄하는 것인 본 발명에 따른 반도체 기판의 단면도.
제4도는 층(예, W-Ge)이 하드 연마(polishing) 저지대로서 상부에 증착되는 것인 본 발명에 따른 반도체 기판의 단면도.
제5도는 형성된 라인/비어(via)를 에칭-백(etching-back)하거나 또는 연마하여 상호 접속 라인을 형성하는 것인 본 발명에 따른 반도체 기판의 단면도.
제6도는 Al-Cu +GeH4의 결과로 생성되는 CMP의 SEM 사진.
제7(a) 및 7(b)도는 GeH4가 반응하여 4 내지 5에 가까운 종횡비로 충전하는 것을 도시하는 사진.
제8도는 실시예 1에 의한 멀티레벨(multilevel) 구조물을 도시함.
제9(a)-9(c)도는 이중 다마신(damascene) 구조물 공정 플로우를 도시하고, 제9(d)도는 실시예 2에 의해 제조된 멀티레벨(multilevel) 구조물을 도시함.
제10도는 비어 저항의 함수로서의 비어 체인 수율을 도시함.
제11(a)도는 실시예 1에 의한 Al-Cu 스터드(stud)/라인의 전자 이동 성능을 도시하고, 제11(b)도는 실시예 2에 의한 Al-Cu스터드/라인의 전자 이동 성능을 도시함.
제12도는 종횡비가 4인 홀/라인에 대한 실온에서의 재료의 원자량 (또는 융점)에 대한 스텝 커버리지(step coverage)의 관계를 도시하는 그래프.
* 도면의 주요부분에 대한 부호의 설명
10 : 유전체층 11,14 : 개구
12 : 재료 13 : 내화 금속층
14 : 금속 15 : 공융 합금
20 : 공극 30 : W-Ge층
본 발명은 일반적으로 전계 효과 트랜지스터(Field Effect Transistor, FET), 쌍극 트랜지스터, 또는 조합된 쌍극/상보형 금속 산화물 규소 구조출(combined Bipolar/Complementary Metal Oxide Silicon Structure, BiCMOS)을 사용하는 회로를 제작하기 위한 구조물 및 방법, 및 더욱 구체적으로는 집적 회로에서 상호 접속 배선을 위한 금속 및 이를 형성시키는 방법에 관한 것이다.
본 발명의 방법은 저온 게르마늄 가스 플로우를 사용하여 라인 및 비어를 포함하는 높은 종횡비 구조물 중에 금속 및 합금을 증착시키는 것이다. 게르마늄 가스 플로우를 사용함으로써, 게르마늄(Ge)은 공극 및 측면 시임(seam)을 방지하고, 또한 CuGe층의 패시베이션(passivation)을 제공하는 표면 반응에 도입될 것이다.
두번째로, 하드 캡이 패시베이션 또는 내마모성 적용에 필요할 경우, GeH4가스에 이어 WF6를 사용하여 동일 반응계 내에서 WxGey의 하드 캡을 생성시킬 수 있다.
세번째로, 본 발명은 하부에 있는 금속을 열화시킴이 없이 저압 및 고온(즉, 450℃ 미만)을 사용하여 높은 종횡비(종횡비 3 이상)의 비어/라인을 충전하는 것에 관한 것이다. 추가로, 충전은 스텝 커버리지의 파라미터에 대한 다른 스퍼터링(sputtering) 공정 파라미터의 본 발명에 따른 특징적인 관계를 사용하여 달성할 수 있다.
알루미늄 및 구리와 같은 비저항이 낮은 금속 및 이들의 이원 합금 및 삼원 합금은 반도체 제조 분야에서 미세 라인 상호 접속체로서 폭넓게 연구되어 왔다.
미세 라인 상호 접속 금속의 전형적인 예로 AlxCuy(여기서, x와 y의 합계는 1이고, x와 y는 모두 0 이상이고 1 이하임), 삼원 합금(예, Al-Pd-Cu, Al-Pd-Nb 및 Al-Cu-Si) 및 비저항이 유사하게 낮은 다른 금속을 기재로 한 합금을 포함한다.
매우 대규모인 집적 (large scale integrated) 회로 제조에 있어서 스케일 다운시킨(scaling down) 라인 폭 치수를 강조함으로써 불충분한 분리, 전자 이동 및 평면화를 포함하는 신뢰도 문제가 유발되었다.
각종 Al, Cu 및 Cu 기재 합금으로 비어 및 라인을 충전하고 화학적/기계적 연마(CMP)를 수행하는 다마신(damascene) 공정은 대규모 회로 집적(very large-scale system integration, VLSI)을 위한 미래 배선 기술의 주요 기술이다. 주요 문제점은 높은 종횡비의 비어 및 라인을 공극 또는 시임이 없게 충전하여, 균일 구조물을 생성하는 것이다. 금속-유기 화학적 증착(MOCVD)은 유망한 방법으로 보이지만, 단지 조립 초기 단계에서만 그러하며, 증착 속도는 매우 느리고, 그 자리에 얇은 라인을 증착시키는 것은 매우 어렵다. 추가로, 레이저 용융은 또한 유망해 보이지만, 이러한 제조 방법을 적용하는 데에는 많은 논쟁점이 남아있다. 또한, 고온 바이어스 스퍼터링(즉, 450℃ 이상) 기술이 시도되었지만, 이 기술은 1 ㎛ 미만에 한하는 제한이 따른다. 추가로, 이러한 고온은 하부에 있는 금속을 열화시킬 것이다.
추가로, 화학적 증착(CVD) 또는 도금(plating)과 같은 종래 기술은 유망해 보이지만, 아직 상기 구조물의 제조에 적용되지는 못하였다. 현재, 물리적 증착(PVD) 기술의 사용을 허용하거나 높은 종횡비의 비어 및 라인의 충전이 필요한 경우, CVD 또는 도금막의 품질을 향상시키는 방법은 없다.
또한, 비저항이 낮은 Cu또는 Al 라인은 백-엔드(back-end) 금속화 및 패키징(packaging) 적용에서 좋게 평가되고 있다. 그러나, 상기한 현존 기술들은 충분한 충전 특성이 부족하기 때문에 미크론 이하 라인에서의 이러한 합금의 양호한 충전은 여전히 문제시되고 있다. Cu 또는 Al-Cu를 증착시키기 위한 특이적이고 값비싼 CVD법[예, 금속 유기 화학적 증착(MOCVD), 레이저 용융, 고온 바이어스 스퍼터링, 폴리 기판 상의 Al-Ge, 등)이 연구되고 있지만, 상기한 바와 같은 결점을 갖는다.
또다른 대체 방법은 스퍼터링에 의해 낮은 공융 Al-Ge를 형성하는 것이지만, 이 기술은 상이한 타겟(target)이 필요하고, 고정된 조성은 라인의 전자 이동 특성을 열화시킨다.
도금은 저렴한 기술이지만, 임의의 조합하의 합금(예, Al-Cu, Al-Nb-Pd, 등)을 증착시킬 수 없다. 추가로, Al-Cu 합금의 순수 Cu는 그의 불량한 내부식성이 알려져 있다. 또한, 임의의 선택적 기술은 선택성을 잃는 경향이 있으므로, 수율에 영향을 주지 않으면서 조밀한 Al-Cu 라인을 캡핑(capping)하는 것은 상당한 문제점으로 남아있다.
현재, 4Mb 및 16Mb 메모리는 전형적으로 Al-Cu 라인 및 W 비어 상호 접속체를 사용한다. CVD는 W를 비어에 구조적으로 증착시키는데 사용된다. 그러나, 치수가 감소하고 전류 밀도가 증가함에 따라, W는 제1금속층(M1) 또는 제2금속층(M2) 상호 접속 구조물에 사용되는 것과 유사한 다른 금속으로 대체되어 경질층을 형성하여야만 할 것이다. 적합한 선택은 Al-Cu 또는 Cu일 것이다. 그러나, 이러한 금속은 매우 느린 증착 속도, 양호한 전구 물질의 부족 때문에, 또한 증착이 백 엔드 오브 라인(back-end-of-line, BEOL) 적용을 위해서는 너무 높다고 믿어지는 온도(예, 450℃ 이상)에서 일어나기 때문에 CVD에 의한 증착은 매우 어렵다.
종래 기술의 하나의 예, 예를 들면 문헌[Kikuta 등, PROC. DF 1991 VMIC CONFERENCE, 제163-170페이지] 및 문헌[Kikuta등, 0.25 ㎛ Contact Hole Filling by Al-Ge Reflow Sputtering, Proceedings of the 1991 Symposium on VLSI Technology, 제35-36페이지]에 기재된 방법에서, 스퍼터링된 Al-Ge 재료를 비어 충전을 위해 사용하였다. 그러나, 이 구조물은 높은 라인 및 비어 저항을 나타내고, 또한 폴리실리콘 하부층을 필요로 했다. 또한, 이원 합금만이 기재되어 있고, 합금화(alloying)가 균일한 기술에서는 높은 Ge 함량이 요구되며 열 안정성이 저하된다.
온도를 금속의 융점에 가깝게 증가시키고(예, 580℃), 기판 바이어싱(biasing)을 사용하여 재유동시킴으로써 Al-Cu와 같은 비저항이 낮은 금속을 증착시키는 것이 잘 알려져 있다. 이러한 고온 뿐만 아니라 바이어스는 이미 증착시킨 금속층을 열화시키고, 또한 확산을 유발하기도 한다. 통상적으로, 종래 방법에서의 이러한 온도는 475℃ 이상이다.
종래 구조물 및 방법의 다른 문제는 좀더 경질의 현탁 입자를 사용하는 연질 금속(예, Al-Cu, Cu, Al의 합금, 등)의 다마신이 스크래칭(scratching) 및 스미어링(smearing)을 나타내고, 고온 바이어스 스퍼터링을 포함하는 종래 스퍼터링 기술은 라인을 충전하는데 사용할 수 없다는 것이다. 스크래치가 없는(scratch-free)연질 금속 라인을 충전하고 형성하는데 상당한 문제가 존재하며, 종래 방법을 사용하여 여기에 실용적이고 효과적인 해답을 제공할 수 없었다.
따라서, 본 발명의 목적은 높은 공정 수율을 갖는 방법을 사용하여 기판 상에 저렴하고, 부식이 없고, 내마모성이고, 전자 이동 내성인 전기 전도체 상호 접속 회로를 미크론이하(submicron) 스케일로 제공하는 것이다. 이 목적을 달성하기 위하여, 본 발명의 목적은 저온 게르마늄 가스 플로우를 사용하여 라인 및 비어를 포함하는 높은 종횡비 구조물 중에 금속 및 합금을 증착시키는 것이다. GeH4, G2H6등과 같은 게르마늄 함유 가스 공급원을 사용함으로써 가스 게르마늄(Ge)이 공극 및 측면 시임을 방지하고, 또한 CuGe층의 패시베이션을 제공하는 표면 반응에 도입된다.
본 발명의 또다른 목적은 종래 방법의 온도보다 훨씬 낮은 온도에서 공극이 없는 높은 종횡비의 비어 및 라인을 충전하는 방법을 제공하는 것이다.
본 발명의 또다른 목적은 전자 이동을 감소시키는 내마모성 하드 캡을 갖는 비저항이 낮은 라인 또는 비어를 제공하는 것이다. 따라서, 상기 언급한 바와 같이 패시베이션 또는 내마모성 적응을 위해 하드 캡이 필요할 경우, GeH4가스에 이어 WF6을 사용하여 동일 반응계 내에서 WxGey의 하드 캡을 제조할 수 있다.
또한, 본 발명의 목적은 저압 및 고온(즉, 450℃ 미만)을 사용함으로써 하부에 있는 금속을 열화시킴이 없이 높은 종횡비(종횡비 3 이상)의 비어/라인을 충전하는 것이다.
역시 또다른 본 발명의 목적은 스텝 커버리지의 파라미터에 대한 다른 스퍼터링 공정 파라미터의 본 발명에 따른 특징적인 관계를 사용하여 재료 충전을 최적화하는 것이다.
본 발명의 하나의 국면에서, 집적 회로 중 상호 접속 배선을 위한 비용 효과적인 구조물이 제공되며, 이것은 측벽을 갖는 유전체 구조물 내에 하나 이상의 라인 및 비어를 형성하기 위한 금속 합금을 포함한다. 금속 합금은 알루미늄, 금 및 은으로 이루어진 군으로부터 선택된 제1원소 및 게르마늄 제2원소를 포함한다.
금속 합금은 0 내지 40 원자% 범위의 제1게르마늄 영역 및 60 내지 100 원자% 범위의 제2게르마늄 영역을 포함한다.
본 발명의 두번째 국면에서, 기판의 상부 표면을 300 내지 450℃ 범위의 온도로 가열하는 단계, 및 기판의 상부 표면 위에 게르마늄을 함유하는 가스를 유동시키는 단계를 포함하며, 여기서 상부 표면은 노출된 알루미늄을 함유하는 영역을 가져서 가스에 있는 게르마늄이 알루미늄과 반응하여 알루미늄 합금을 형성하고, 이 알루미늄 합금의 표면 장력으로 인하여 인접 개구로 유동하게 하는, 상부 표면을 갖는 기판 상에 금속 합금을 형성하는 방법이 제공된다.
본 발명자들은 GeH4의 반응과 함께 PVD를 사용하여 낮은 공융점을 갖는 합금을 형성함으로써 비어를 충전할 수 있다는 것을 실험적으로 보여주었다. PVD는 Al과의 어느 이원 및 삼원 합금이라도 허용한다. 표면 반응에 의해 제조된 이 구조물은 바이어스 스퍼터링에 의한 Al-Ge만을 사용한 종래 시스템보다 유리하다. 저온(바람직하게는 300 내지 450℃의 범위 내이고, 더욱 바람직하게는 300 내지 400℃임) CVD 반응/증착은 유기 및 무기 절연체/유전체 모두를 사용하는데 적합하다.
따라서, 예를 들면 갭(gab)을 충전하기 위하여 폴리이미드를 배면 충전(backfilling)하는, Al/Cu를 폴리이미드로 집적하는 종래 CMOS 방법은 W와 관련된 스트레스와 관계없이 본 발명의 기술로 대체할 수 있다. 금속학적 측면은 본질적으로 PVD법에 의해 조절되기 때문에, 전자 이동 열화는 없다. 이 기술은 특히 약간의 레지스턴스 페널티(resistance penalty)가 허용가능할 경우(예, W 스터드를 대체할 수 있음) 더욱 유리하며, 동시에 평면화 요구가 최소화될 수 있고, 금속막 스트레스 문제점을 피할 수 있다.
추가로, CVD법은 GeH4를 선택적으로 사용하여 단지 비어에만 저융점 공융물을 형성함으로써 표면 확산 및 구조물의 측벽의 패시베이션에 적합하며, 이 기술은 공정 수행(process implementation)에 매우 유리하다.
또한, 본 발명의 구조물 및 방법은 금속화부 특징으로서 공극 또는 시임의 영역 근처에 게르마늄이 선택적으로 첨가되도록 한다. 또한, Al-Ge-M(삼원 합금 등, 여기서 M은 Nb, Pd, Cu 등일 수 있음) 공융물의 저온 용융은 비어/트렌치(trench)의 충전을 달성한다. Ge의 유동은 (Al-Ge-M 등의 용융) 표면에서만 일어난다. 따라서, 단계별 조성을 생성하는데 유리하다. 본 발명에서는 종래 구조물에서와 같은 비어 저항의 열화 및 Al-Cu-Ge 시스템의 전자 이동은 없다. 추가로, 본 발명에 의해서 고유한 상호 접속 측벽 패시베이션된 구조물이 형성될 수 있다.
또다른 국면에서, 본 발명에 따른 구조물 및 기술은 GeH4및 WF6의 2 단계 표면 반응을 사용하여 스크래칭 및 충전 문제를 해결하였다. 또한, 종래의 낮은 비용의 비저항이 낮은 PVD 합금을 GeH4의 짧은(5 내지 10분) 노출에 이은 WF6와 GeH4의 반응과 함께 충전을 위해 사용할 수 있다. 바람직하게는, 증착 온도는 실질적으로 400℃에 가깝거나 미만이다. 이 온도는 종래 시스템에 사용되는 온도보다 훨씬 더 낮다. 사실상, 종래 시스템 및 기술은 일반적으로 450℃ 이상의 온도를 사용한다. 또한, GeH4의 노출에 따라 Ge를 갖는 안정한 금속상이 형성될 수 있다(예, Cu3Ge 등). 추가로, 양호한 연마 저지대가 WxGey의 형태로 제공된다. 하드 캡핑된 WxGey가 본 발명에 의해 한 단계로 형성될 수 있고, 라인 저항의 열화가 없으며 Al-Cu-Ge 시스템의 전자 이동이 종래 시스템에 비해 개선될 수 있다.
세번째로, 본 발명은 스퍼터링을 사용하여 저온 및 저압에서 비저항이 낮은 금속 합금(예, Al-Cu)을 사용하여 높은 종횡비의 비어 및 라인의 충전을 개선하는 것에 관한 것이다. 저압의 잇점은 비어 또는 라인의 표면 확산의 방향성을 달성한다는 것을 포함한다. 사용된 온도는 450℃ 미만이다. 하기 표 3에 지정된 조건은 사용하여 하기 설명된 제10(a)-10(c)도에 도시된 바와 같은 이중 다마신 구조물을 형성한다.
또한, 본 발명에 따라 스텝 커버리지의 파라미터에 대한 다른 스퍼터링 공정 파라미터의 본 발명에 따른 특징적 관계를 사용함으로써 재료 충전을 최적화한다.
상기 및 기타 목적, 특징 및 잇점은 도면과 함께 본 발명의 바람직한 실시태양의 상세한 설명으로부터 더욱 이해될 것이다.
도면과 관련하여, 더욱 구체적으로는 제1도에는 본 발명에 따른 구조물 및 방법이 도시되어 있다. 본 발명은 FET, 쌍극 또는 BiCMOS를 사용하는 회로를 제조하는데 특히 유용하고, 0.5 미크론 미만의 리토그래픽(lithographic) 구조물(예, 64Mb 및 256Mb DRAM 구조물에서 종종 직면하는 구조물)에 특히 유용하다. 물론, 본 발명이 이러한 적용에만 제한되는 것은 아니고, 이 명세서를 읽은 당업계의 통상의 숙련자는 본 발명을 다른 응용에 쉽게 적용할 수 있다고 생각되어 진다.
일반적으로, 본 발명의 방법은 저온 게르마늄 가스 플로우를 사용하여 라인 및 비어를 포함하는 높은 종횡비의 구조물에 금속 및 합금을 증착시키는 것이다.
금속 및 합금은 바람직하게는 알루미늄, 금 및 은의 군으로부터의 원소인 제1원소 및 게르마늄 제2원소를 포함한다. 금속 합금은 바람직하게는 0 내지 40 원자% 범위의 게르마늄 제1 영역 및 60 내지 100 원자% 범위의 게르마늄 제2영역을 포함한다. 금속 합금은 바람직하게는 Al-Ge 및 Cu3Ge의 제3영역을 포함한다. 금속 합금의 제2영역은 유리하게는 삼원 금속 합금이고, 금속화는 바람직하게는 금속 합금위에 WxGey층을 포함한다.
게르마늄 가스 플로우를 사용함으로써 Ge는 공극 및 측면 시임의 출현을 방지하는 표면 반응에 도입될 것이다. 표면 반응은 또한 CuGe층의 패시베이션을 제공한다는 점에서 유용하다. 추가로, WF6을 포함하는 게르마늄 가스 유동은 WxGey의 하드 캡층을 생성한다.
더욱 구체적으로, 본 발명의 구조물 및 방법으로 GeH4및 WF6의 표면 반응을 사용하여 높은 종횡비의 라인/비어를 충전하며, 여기서 비저항이 낮은 합금[예, AlxCuy(여기서, x + y = 1, 0 x 1임)]은 화학적 증착(CVD), 도금 또는 물리적 증착(PVD) 기술 중 어느 하나에 의해 증착될 수 있다.
승온에서 상기 합금 상에 GeH4를 서서히 유동시키면 동일 반응계 내에서 공융점이 낮은 Al-M-Ge 또는 Cu-Ge 합금이 형성되어 시임 또는 측면에 존재하는 공극 또는 갭이 충전된다.
본 발명의 다른 특징에서 WF6를 천천히 도입하여 경질 내마모성 코팅(WxGey)을 연질 합금을 위한 연마 저지대로서 Al-Cu-Ge층의 상부에 증착시킨다. 라인/비어를 형성한 후, W 합금 캡을 SF6반응성 이온 에칭(Reactive Ion Etching, RIE) 방법 등으로 제거할 수 있다. GeH4의 반응에 의해 형성된 구조물은 독특하며, 구조물의 전자 이동을 개선한다.
기술 및 생성된 구조물이 임의의 특정 기판 및 유전체 오버레이(overlay)의 사용에만 제한되는 것이 아니라는 것은 이해될 것이다. 또한, 본 발명은 임의의 특정 금속 조합물에 한정되는 것이 아니고, 본 발명의 목적은 비교적 연질의 비저항이 낮은 금속 또는 금속 합금을 마모, 부식 및 전자 이동에 저항할 수 있는 경질 내화 금속으로 오버레잉한 후, Ge로 표면 반응시키는 것이다. 본 발명은 알루미늄 및 구리 합금을 사용하는 전기 시스템에 특히 적합하지만, 또한 다른 금속 합금에 대해서도 동일한 잇점으로 사용될 수 있다.
본 발명은 더욱 상세히 살펴보고, 높은 종횡비의 비어/라인을 저 공융점 합금을 형성함으로써 비저항이 낮은 금속으로 충전하는 방법 및 구조물을 기재할 것이다. 또한, 연마 저지대로서의 W-Ge의 하드 캡의 형성도 설명할 것이다.
일반적으로, 제1 내지 5도에 도시된 바와 같이, 먼저 GeH4를 도입한 후, WF6를 서서히 도입하여 Al-Cu-Ge/WxGey의 이층 구조를 형성한다. 여기서, GeH4가스는 실용적인 임의의 방법으로 증착시킨 비저항이 낮은 합금의 충전물을 위해 사용된다. 예를 들면, PVD, 도금 또는 CVD 기술 중 어느 하나가 GeH4와 함께 사용될 수 있다. 이러한 충전층의 예가 제1 내지 5도에 도시되어 있고, 이하 후술될 것이다.
제1(a) 및 1(b)에 도시된 바와 같은 첫번째 예에서, 기판(도시되지 않음)을 먼저 유전체(10)으로 오버코팅하고, 이어서 패턴화한다.
기판은 바람직하게는 규소, 규소 게르마늄, 게르마늄, 갈륨 아르세나이드, 또는 집적 회로의 제조에 적합한 몇몇 다른 재료이다. 그러나, 기판은 세라믹, 유리, 또는 반도체 패키징 및 박막 상호 접속에 통상 사용되는 복합재일 수 있다.
기판은 바람직하게는 전계 효과 트랜지스터(FET), 쌍극 트랜지스터, BiCMOS, 레지스터, 쇼트키 다이오드(Schottky diode) 등을 포함할 수 있는 반도체 소자를 그 안에 복수로 포함한다. 물론, 기판은 상기한 부속품 중 어느 하나와 함께 당업계에 알려진 많은 다른 부속품을 가질 수 있다.
유전체(도면에 도시된 바와 같은 단일층, 또는 복수층을 갖는 복합체)는 유기 및(또는) 무기 재료로 형성될 수 있다. 무기 재료는 이산화규소(SiO2), 질화규소(Si3N4) 등일 수 있다. 유전체층(10)은 바람직하게는 플라스마-강화 화학적 증착(PECVD)을 사용하여 증착된다. 폴리이미드 또는 다이아몬드상 탄소(DLC)와 같은 유기 유전체층은 하나 이상의 무기 유전체층의 최상부 또는 그 사이에 증착될 수 있다.
복수층으로 생성되는 유전체 복합층 이외에, SiO2, PSG 또는 BPSG와 같은 단일층의 무기 유전체층, 폴리미이드와 같은 유기 유전체가 또한 사용될 수 있고, 산화적 분위기에서의 성장, 스퍼터링 또는 PECVD와 같은 다수의 잘 알려진 기술 중 어느 방법으로나 증착시킬 수 있다. 제1(a) 및 1(b)도에서는 단일 유전체층의 사용을 도시하지만, 유전체층(10)은 본 발명의 실시에 제한되는 것이 아니고, 사용된 임의의 (예, 무기 또는 유기) 유전체층 그 자체로 또는 다른 것과 조합하여 본 발명의 실시에 사용할 수 있다는 것이 이해될 것이다.
개구(11)은 유전체 복합층에 형성되며, 이 개구는 전도성 라인을 위한 비어 또는 트렌치일 수 있다. VLSI 적용에서, 기판은 제1(a)도에 도시된 바와 같은 개구(11)을 수백개 내지 수천개 가지며, 얻어진 조밀하고 복잡한 패턴은 궁극적으로 기판 상 또는 기판 내에서 회로를 상호 접속시킬 것이다. 개구(11)은 바람직하게는 콘트라스트-강화 리토그래피(Contrast-Enhanced Lithograpy, CEL)를 사용한 후, 최적 오버에칭 특성을 갖는 CHF3및 O2를 사용하는 다중웨이퍼 툴(multiwafer tool)로 트렌치 또는 홀 에칭하여 개구(14)가 바람직한 치수를 가지며 비어 스터드 패턴을 위하여 기판 표면 상의 접촉점까지 확장되도록 한다.
라인 패턴을 위하여 유전체층은 바람직하게는 사용하고자 하는 금속 두께보다 약 10% 더 두꺼운 두께로 부분적으로 에칭시킨다. 폴리이미드를 에칭시킬 때, 저온에서의 O2RIE가 바람직하다. 개구(11)의 형성은 당업계 내에서 잘 이해되어 있고, 많은 다른 기술에 의해 생성할 수 있다는 것을 이해하여야 할 것이다.
이후에, 개구(11)(예, 트렌치/비어) 내 및 유전체층(10) 위에 PVD(예, 증발, 조준 스퍼터링 또는 조준 없는 스퍼터링 등)에 의해 Ti와 같은 적합한 재료(12)에 이어 Al-Cu 또는 Cu를 증착시킨다. Al 대신에 금 또는 은을 유리하게 사용할 수 있다.
이어서, 내화 금속층(13)을 유전체층 상 및 트렌치 내의 Al-Cu또는 Cu의 상부에 증착시킨다. 그림자 효과(shadowing effect)로 인하여 PVD(예, 증발)는 구조물의 측면에 시임을 나타낸다. 시임은 신뢰성 문제를 제기하기 때문에 이러한 구조물에서는 문제를 일으킨다.
이후에, GeH4가스를 Al-Cu또는 Cu층(12)의 상부 표면을 가로질러 유동시키고, 비어를 충전한다. 바람직하게는, GeH4를 1 mT 내지 760 Torr, 및 더욱 바람직하게는 1 Torr의 압력에서, 및 300 내지 450℃, 및 더욱 바람직하게는 380 내지 400℃의 온도에서 유동시킨다. Al-Cu와 함께 GeH4를 사용하여 Al-Cu의 공융점을 낮추고, 비어를 충전한다.
상기 언급한 바와 같이, Al-Cu또는 Cu의 상부는 바람직하게는 제1(a)도에 도시된 바와 같이 내화 금속으로 캡핑된다. 내화 금속층은 티탄(Ti), 티탄 합금 또는 Ti/TiN과 같은 화합물, 텅스텐(W), 티탄/팅스텐(Ti/W) 합금 또는 크롬(Cr) 또는 탄탈(Ta) 및 이들의 합금 또는 몇몇 다른 적합한 재료일 수 있다. 이러한 내화 금속 캡은 표면 반응이 진행하는 것을 막아주고, 부반응을 촉진한다.
바람직하게는, 금속화부(14)는 제1(a)-1(b)도에 도시된 바와 같이 AlxCuy(여기서, x와 y의 합은 1이고, x 및 y는 모두 0 이상이고 1 이하임)이다. 그러나, Al-Pd-Cu와 같은 삼원 합금 및 Al-Pd-Nb-Au와 같은 다성분 합금도 또한 적합하다. 금속화부(14)의 주요 특성은 내화 금속 캡(13)에 비해 비저항이 낮으며 연질 재료라는 것이다.
바람직하게는, 라인 패턴 또는 레벨간(interlevel) 비어 패턴을 나타내는 개구(11)은 라인 또는 비어 표면 아래로 100 내지 400 nm의 두께까지 금속화부(14)로 충전된다.
이 구조물을 GeH4와 반응식(1)과 같이 반응시킨 후, 측면 시임을 제1(b)도에 도시된 바와 같은 Al-Cu-Ge저융점 공융 합금(15)로 충전한다.
AlxCuy+(x+y) GeH4→ xAl-Ge + y(Cu-Ge) + 2(x+y)H2(1)
제2(a)-2(b)도와 관련하여 본 발명의 제2국면은 PVD(예, 증발, 조준 스퍼터링 등) 공정 동안의 상기한 그림자 효과로 인한 금속 갭의 봉쇄를 설명한다.
구체적으로, Al-Cu 합금과 GeH4를 300 내지 450℃, 바람직하게는 350 내지 400℃, 및 더욱 바람직하게는 380 내지 400℃의 온도 범위 및 0.2 내지 1 Torr와 압력 범위에서 초고속(UHV) 반응기 중에서 반응시켜서, 공극(20)을 제2(b)도에 도시된 바와 같이 유리하게 봉쇄한다.
제3(a)-3(b)에 도시된 바와 같은, 표준 스퍼터링 공정 동안 직면하게 되는 유사 공극을 GeH4의 반응 및 저융점 공융물의 형성에 의해 봉쇄한다. 따라서, 임의의 복잡한 기술을 사용하지 않고도 본 발명은 비어 및 라인의 충전을 제조하는데 사용될 수 있다. 반응은 저융점(m.p.) 공융 합금을 형성하며, 재료를 공극의 중심으로 유동시켜서 공극을 충전한다.
본 발명의 또다른 실시태양에서, 일단 재료 플로우가 달성되면, W-Ge층(30)등은 바람직하게는 제4도에 도시된 바와 같이 내화재층 및 금속화부 위에 증착된다. W-Ge층(30)은 경질 내마모성 연마 저지대로 유리하게 사용될 것이다(예, 이것은 화학적-기계적 연마 등에 사용되는 질산제이철에 있는 알루미나 슬러리 등보다 더욱 내마모성일 것임). 이후에, 라인 또는 비어를 형성하고, 이들을 바람직하게는 에칭-백 또는 연마하여 제5도에 도시된 바와 같은 상호 접속 라인을 형성한다.
WxGey의 적용 후 구조물을 평면화하여 전도성 비어 또는 라인을 갖는 구조물을 얻고, 이것은 WxGey등으로 이루어진 경질 내마모성 캡을 갖는 중심성 연질의 비저항이 낮은 금속화부(14)를 포함한다. 비어 또는 라인의 상부 표면은 기판 상에 유전체 재료의 상부 표면과 동등하다. 평면화는 희석 질산제이철 중 알루미나와 같은 슬러리에 의한 화학적-기계적 연마에 의해, 또는 SF6또는 Cl2기재 화합물(chemistry)의 존재하의 RIE에 의해 한 단계 또는 두 단계 내에 달성할 수 있다.
상기한 기술 이외에, 라인 및 비어는 GeH4반응과 함께 재료를 비아에 증착시킨 후, 패턴화하고 라인을 에칭시켜 상호 접속 구조물을 형성함으로써 한단계로 형성할 수 있다.
실험은 본 발명에 의해 400℃ 미만의 온도에서의 GeH4반응으로 Al-Cu-Ge(Cu3Ge)의 저온 공융물을 형성할 수 있고, 저온 Ge 재료를 함유하는 경질 W 캡을 Al-Cu 합금의 상부에 증착시킬 수 있다는 것을 보여주었다. 이러한 방법(즉, GeH4를 Al-Cu로 표면 확산시킴)을 사용하여 제6도 및 제7(a) 및 7(b)도의 사진에 도시된 바와 같이 4 내지 5의 종횡비로 공극 없이 충전할 수 있다. GeH4반응을 사용하여 수행하는 추가의 실험이 하기 표 1에 열거되어 있다. 데이타는 공극을 상기한 본 발명의 방법을 사용하여 충전할 수 있다는 것을 명백히 보여준다.
상기한 기술은 리토그래피 그라운드 룰(ground rule)이 0.5 미크론 미만으로 감소됨에 따라 열악해지는 문제에 대해 단순하고 저렴한 해답을 제공한다.
또한, 상기 기술은 많은 적용 분야를 가지며, 방법이 표면 반응에 의존한다는 점에서 유리하다. 이와 같이, 그것은 단지 비어 내에만 저융점 공융 합금을 선택적으로 형성하여 공극 및 시임을 방지한다. 본 발명에 따른 방법은 필요시 배치로서 또는 단일 웨이퍼 반응기(SWR) 증착으로서 이용될 수 있다. 또한, 본 발명은 임의의 PVD 기술(예, 증발, 표준 스퍼터링 등)을 사용할 수 있는 저렴한 기술을 제공한다.
또한, WGe, WGe과 관련하여 20 내지 30 μΩcm는 연마 속도가 순수 W 속도의 1/5이다. 또한, 큰 패드(pad)/라인 및 작은 패드/라인은 크고 작은 패드와 저항이 변화되지 않는다는 점에서 또한 보호된다.
실험은 상기 구조물로 수행하였고, 하기 결과를 얻었다.
본 발명으로 CMOS 뿐만 아니라 쌍극 적용을 위한 규소 백-엔드 금속화 과정중 GeH4및 WF6(본 발명의 이러한 특징이 바람직할 경우)와 함께 종래 기술을 사용하여 높은 종횡비 컨택트(contact) 및 비어를 충전하며, 또한 본 발명의 기술 및 구조물을 사용하여 Al-Cu 합금의 전자 이동 성능을 종래 구조물 및 방법에 비해 개선할 수 있다.
또한, 본 발명의 신규하고 독특한 구조물 및 방법에 의해 값비싸고 복잡한 장치가 필요하지 않으며, 비어 충전 재료로 저융점 합금을 사용하는 것이 가능하다.
이러한 합금은 전자 이동 및 제한된 수명을 방지하기 위하여 Al-Cu 라인에 적합하게 사용되어 접속시킨다. 또한, 본 발명은 리토그래피 그라운드 룰이 0.5 미크론 미만일 때, 예를 들면 64Mb 및 256Mb 다이나믹 랜덤 어세스 메모리(dynamic random access memory, DRAM) 구조물의 제조에 특히 유용하다. 추가로, Al-Cu 라인 및 W 는 배선층 사이의 비어 상호 접속부에 사용될 수 있다.
표면 확산에 의해 높은 종횡비의 비어/라인이 충전되는 것인 본 발명의 또다른 실시태양에서, 450℃ 미만의 온도와 함께 저압 스퍼터링 방법이 사용된다. 본 발명은 타겟의 코사인 분포가 우세하지만, 저압(예, 1 mT 미만, 바람직하게는 0.2 mT 내지 0.8 mT)에서의 방향성이 개선된다. 실온하 저압에서 증착이 수행될 경우(하기 표 2 참조), 4에 가까운 종횡비가 공극 없이 충전될 수 있다(표 2 참조). 종횡비는 일반적으로 폭(트렌치의 경우) 또는 직경(비어의 경우)에 대한 트렌치 또는 비어의 높이 비를 나타낸다. 2보다 큰 종횡비를 갖는 트렌치 및 비어는 일반적으로 높은 종횡비를 갖는다고 생각되어 진다. 실온에서 압력을 증가시킴으로써 충전은 하기 표 3에 나타난 바와 같이 역효과를 받는다. 따라서, 보다 높은 종횡비(예, 4 이상)를 충전하기 위하여 450℃ 미만의 온화한 온도가 필요하다. 제4도에 나타난 결과는 온화하게 낮은 압력 및 낮은 온도에서 높은 종횡비(4 이상)를 충전할 수 있다는 것을 나타낸다.
이러한 거동을 설명하는 하나의 가능성은 저압에서 방출되는 스퍼터링된 원자의 운동 에너지의 증가에 기인한 것일 수 있다. 고에너지를 갖는 이러한 원자들은 고압(0.8 mT 내지 1 mT)에서 발생되는 스퍼터링된 원자에 비해 낮은 접착(sticking) 계수(0.1 내지 0.3)를 갖는다. 이들은 고에너지(1 eV 이상)를 갖기 때문에, 수직벽에 접착하지 않지만, 대신에 안정한 배열이 달성될 때까지 튕기거나(bounce off) 확산된다. 또한, 더 낮은 압력에서 큰 평균 유리 패스(path)는 다른 원자들과의 충돌을 최소로 하는데 도움을 줄 수 있으며, 따라서 운동 에너지를 보유한다. 추가로, 온화한 온도(예, 450℃ 미만)는 스퍼터링된 원자의 표면 확산 특성을 증가시켜서 공극이 없는 충전을 달성할 수 있다.
따라서, 표 2, 3 및 4에 나타난 바와 같이, 0.2 내지 0.8 mT와 같은 저압에서 높은 종횡비(종횡비 : 4 이상)의 비어가 비저항이 낮은 금속으로 완전하게 충전될 수 있다.
[멀티레벨 구조물의 형성]
[실시예 1]
RIE 및 다마신 방법의 조합(제8도 참조)
먼저 스택(stack)으로서 스퍼터링된 층(0.8 미크론)을 증착시킨 후, 패턴화하여 라인을 형성함으로써 제8도에 도시된 2단계 구조물을 형성한다. 당업계에 공지된 바와 같이 증착/에칭/증착 에칭 방법에 의해 유전체층을 그 위에 증착시켰다. 이어서, 유전체층을 화학적 및 기계적 연마에 와해 평면화하였다.
평면화를 희석 질산제이철 중의 알루미나와 같은 슬러리를 사용하여 화학적-기계적 연마에 의해 또는 SF또는 Cl기재 화합물의 존재하에 RIE에 의해 한 단계 또는 두 단계 내에 달성할 수 있다. 화학적-기계적 연마가 사용될 경우, 슬러리는 금속층의 경도에 따라 스택 상에 상이한 금속층들을 제거하도록 선택할 수 있다.
이후에, 비어를 개방하고, 본 발명의 두가지 방법[예, GeH와 Al-Cu의 반응 및(또는) 저압 및 고온에서의 스퍼터링을 사용한 다른 방법]을 사용하여 Al-Cu 스터드(예를 들면 0 내지 4%의 Cu를 가짐)로 충전하였다. 금속은 화학적 및 기계적 연마 중 어느 하나(알루미나 및 질산제이철 슬러리 및 낮은 연마 압력을 사용함)를 사용하고, RIE 기술(BCl+ Cl+ CHCl/N를 사용함)을 개별적으로 사용한 에칭-백 방법에 의해 비어 내에 잔류하였다.
화학적 및 기계적 연마가 사용될 경우, 슬러리는 스크래칭이 없는 표면을 제공하도록 선택될 수 있다. 일단 Ti/Al-Cu/Ti/TiN층을 이러한 비어의 상부 표면 상에 다시 증착시키고, 리토그래피에 의해 패턴화시키고, RIE에 의해 형성하여 상호 접속부를 형성한다. 본 발명자들은 이러한 구조물을 전기적으로 시험하였다.
[실시예 2
이중 다마신 방법 (제9(a)-9(d)도 참조)
제9(a)-9(d)도에 도시된 바와 같은 다른 실시예에서는 패턴화된 산화물 중에 트렌치를 형성한 후, 더 연질인 슬러리(예, 알루미나 및 질산제이철에 비해 더 낮은 pH를 갖는 콜로이드 실리카)를 사용하여 과량의 금속을 연마하여 제1레벨 금속 라인[Ti/Al-Cu(0.5%)/Ti]을 형성하였다. 이후에, 실질적으로 2 미크론의 두께를 갖는 산화물을 그 위에 증착시켰다. 금속 레벨 2(M2)로의 패턴화를 수행한 후, 산화물을 1 미크론으로 에칭시키고, 레지스트를 제거하였다. 리토그래피를 사용하여 다시 한번 산화물을 비어로 패턴화시키고, 이것을 RIE로 금속 레벨 1(M1) 아래로 개방시켰다. 레지스트를 제거한 후, 금속(예, Al-Cu(0.5%))을 저온 및 400℃에서 스퍼터링 증착시켰다. 표면 확산을 사용하여, 높은 종횡비를 공극 없이 충전시켰다.
상기한 연마 방법을 사용하여 Al-Cu를 필드(field) 산화물로부터 제거하였고, 이렇게 하여 제9(d)도에 도시된 바와 같은 이중 다마신 구조물을 생성하였다.
따라서, 또한 본 발명에 따라 소정의 경도를 갖는 금속층(예, Al-Cu, Al, Al-Cu-Ge 등)을 포함하는 스택이 조립되어 있는 기판 상에 반응성 이온 에칭과 함께 이중 다마신 및 단일 다마신 구조물 중 어느 하나를 생성시키는 방법이 제공된다. 상기 방법은 제거하고자 하는 재료(예, Al-Cu의 경우 콜로이드 실리카 등)의 경도보다 2 내지 3배 더 큰 경도를 갖는 슬러리를 사용하는 화학적 및 기계적 연마에 의해 소정의 경도를 갖는 금속층을 제거하는 것을 포함한다. 또한, 예를 들면 WGe와 같은 하드 캡이 사용될 경우, 슬러리 중에 더 경질의 현탁 입자(예, 알루미나 등)를 사용할 수 있다.
본 발명의 이러한 다른 실시태양을 사용하여 얻은 멀티레벨 구조물의 비어 체인 저항의 전기적 결과를 제10도에 도시된 바와 같이 얻었다. 체인(500 비어/체인, 비어 사이즈 : 0.7 ㎛, 시험된 38 체인)에 대한 평균 저항이 0.5 kΩ을 갖는 분포가 통상이다. 체인 상에서의 수율은 100%이다. 비어 저항은 CVD W스터드와 필적할만하다.
1 ㎛ 직경의 Al-Cu 스터드에 의해 접속된 Al-2%Cu 라인(폭 1.4 ㎛, 길이 300 ㎛)을 사용하여 제9(d)도에 도시된 바와 같은 2단계 구조물의 전자 이동 시험을 250℃의 온도에서 1.22 MA/cm 와 전류 밀도로 수행하였다. 저항에 있어서 20% 이동을 결함의 판정 기준으로 사용하였다. 전자 이동 성능을 CVD W 스터드에 대해 비교하였다.
GeH반응으로 형성된 Al-Cu 및 440℃에서의 저압 스퍼터링에 의한 Al-Cu 단독에 대한 전자 이동 표준 로그 플롯을 각각 제11(a) 및 11(b)도에 도시한다. W 비어 샘플(도시되지 않음)은 전기적 개방(즉, 완전 결함) 이전에 Al-Cu 비어 샘플보다 더 높은 저항값으로 이동한다. 결함 판정 기준으로서의 저항에 있어서의 20%변화를 Al-Cu 비어 샘플은 이들과 비교한 W 비어 샘플보다 더 높은 평균 결함 시간(mean-time-to-fail) t(CVD W에 대한 86시간과는 달리 Al-Cu-Ge 샘플 : 116 시간, 저압 440℃ 스퍼터링한 Al-Cu : 6161 시간)과 더 높은 σ 를 나타낸다. 따라서, Al-Cu 비어 전자 이동 결과는 CVD W 비어 샘플보다 t에 있어 상당한 개선을 나타낸다.
상기 지적한 바와 같이, 본 발명자들이 표면 확산 효과를 상세히 조사하기 위하여 연구한 압력 및 온도를 포함하는 비저항이 낮은 금속에 대한 충전은 몇몇 인자에 영향을 받는다.
제12도는 종횡비가 4인 홀/라인에 대하여 실온에서의 재료의 원자량(또는 융점)에 대한 스텝 커버리지의 관계를 나타낸다. 제12도는 더 낮은 원자량 또는 융점으로 실온 및 저압에서 종횡비 4 미만의 비어를 더 쉽게 충전하는 것이 가능하다는 것을 쉽게 나타낸다. 더 높은 종횡비로 충전하기 위하여, 다른 스퍼터링 파라미터가 중요한 역할을 한다. 이러한 재료 충전을 달성하기 위하여, 재료 충전을 위한 스텝 커버리지 및 스퍼터링 파라미터 사이의 관계를 본 발명자들이 발견하였다. 관계는 반응식(2)에 나타낸다.
SC=K · M · M · p · A · θ · T (2)
반응식(2) 중,
SC는 충전하고자 하는 재료의 스텝 커버리지이고,
M는 재료의 응점이고,
M는 재료의 원자량이고,
A은 트렌치 또는 홀의 종횡비이고,
P는 작동 압력(mT)이고,
T는 기판 온도(℃)이고,
θ는 무단위 양이고, tan(θ)는 D1-D2/2H이고,
K는 재료 의존 상수이다.
상수 및 계수는 다음과 같다.
a는 0.2이고,
b는 0.25(고 중량)-0.51(저 중량)이고,
c는 0.21-0.27이고,(저 중량, 예를 들어 Al, Al-Cu에 대해서는) 0.03 이하이고,
d는 0.1 이하이고,
e는 0.6-0.7이고,
K는 3-5(약 4.0-4.5)이고,
D1은 타켓의 직경이고,
D2는 기판의 직경이고,
H는 타겟과 기간 사이의 거리이다.
따라서, 제12도에 도시된 바와 같이, 종횡비가 4인 홀/라인에 대하여 실온에서 재료의 원자량 또는 융점에 대한 스텝 커버리지의 관계가 도시되며, 이것은 더 낮은 원자량 또는 융점의 재료를 사용하여 실온 및 저압에서 비어를 종횡비 4로 더욱 쉽게 충전할 수 있다는 것을 나타낸다. 또한, 상기한 반응식은 재료 충전을 위한 스텝 커버리지 및 스퍼터링 파라미터 사이의 관계를 충분히 설명하며, 충전이 원자량에 의존한다는 것을 명백히 보여준다.
따라서, 상기 설명한 바와 같이 본 발명은 집적 회로에 있어서 신규하고 독특한 상호 접속 배선용 금속을 제공한다. 금속은 측벽을 갖는 유전체 구조물 내에 하나 이상의 라인 및 비어를 형성하기 위한 금속 합금을 포함한다. 금속 합금은 알루미늄, 금 및 은으로 이루어진 군으로부터 선택된 제1원소와 게르마늄 제2원소를 포함한다. 금속 합금은 0 내지 40 원자% 범위의 게르마늄 제1영역 및 60 내지 100 원자% 범위의 게르마늄 제2영역을 포함한다. 금속 합금은 바람직하게는 Al-Ge 및 CuGe의 제3영역을 포함한다. 금속 합금의 제2영역은 바람직하게는 삼원 금속 합금을 포함하고, 금속화는 바람직하게는 금속 합금 위에 WGe층을 포함한다.
또한, 상부 표면을 갖는 기판 상에 금속 합금을 형성하는 본 발명의 방법은 노출된 알루미늄 또는 Cu 합금을 함유하는 영역을 갖는 기판의 상부 표면을 300 내지 450℃의 온도로 가열하고, 기판와 상부 표면 상에 게르마늄을 함유하는 가스를 유동시키는 것을 포함하고, 이로써 가스 중의 게르마늄이 Al-Cu와 반응하고 알루미늄 합금을 형성하고, 이 알루미늄 합금의 표면 장력에 의해 인접 개구로 유동한다. 가스를 유동시키는 단계는 바람직하게는 GeH의 선택을 포함한다. 바람직하게는, 본 발명의 방법은 또한 게르마늄을 함유하는 가스의 유동 단계 후에 W를 함유하는 가스의 유동 단계를 포함하여 하드 캡을 형성한다.
또한, 본 발명에 따라 저압 및 고온(즉, 450℃ 미만)을 사용하여 하부에 있는 금속을 열화시킴이 없이 높은 종횡비의 비어/라인의 충전(종횡비 ; 3 이상)을 유리하게 달성할 수 있다.
추가로, 본 발명에 따라 재료 충전은 스텝 커버리지의 파라미터에 대한 다른 스퍼터링 공정 파라미터의 본 발명에 따른 특징적인 관계를 사용하여 달성할 수 있다.
본 발명을 바람직한 실시태양과 관련하여 설명하였지만, 당업계의 숙련자는 본 발명을 첨부된 특허 청구의 범위의 정신 및 영역 내에서 변형하여 실시할 수 있다는 것을 알게 될 것이다.

Claims (27)

  1. 알루미늄, 금 및 은으로 이루어진 군으로부터 선택된 제1원소와 게르마늄의 제2원소를 포함하고, 0 내지 40 원자% 범위의 게르마늄 제1영역과 60 내지 100 원자% 범위의 게르마늄 제2영역을 갖는, 측벽을 갖는 유전체 구조물 내에 하나 이상의 라인 및 비어를 형성하기 위한 금속 합금을 포함하는, 소자의 상호 접속 배선용 금속.
  2. 제1항에 있어서, 상기 금속 합금이 Al-Ge 및 Cu3Ge의 제3영역를 포함하는 것인 금속.
  3. 제1항에 있어서, 상기 제2영역이 삼원 금속 합금을 포함하는 것인 금속.
  4. 제2항에 있어서, 상기 제2영역이 삼원 금속 합금을 포함하는 것인 금속.
  5. 제1항에 있어서, 상기 금속 합금 위에 WxGey층을 포함하는 것인 금속.
  6. 제2항에 있어서, 상기 금속 합금 위에 WxGey층을 포함하는 것인 금속.
  7. 제3항에 있어서, 상기 금속 합금 위에 WxGey층을 포함하는 것인 금속.
  8. 제2항에 있어서, 상기 제2영역이 삼원 금속 합금을 포함하는 것인 금속.
  9. 제3항에 있어서, 상기 금속 합금 위에 WxGey층을 포함하는 것인 금속.
  10. 노출된 알루미늄을 함유하는 영역을 갖는 기판의 상부 표면을 300 내지 450℃ 범위의 온도로 가열하는 단계, 및 상기 기판의 상기 상부 표면 상에 게르마늄을 함유하는 가스를 유동시키는 단계를 포함하고, 이로써 상기 가스 내의 게르마늄이 상기 알루미늄과 반응하여 알루미늄 합금을 형성하고, 이 알루미늄 합금의 표면 장력으로 인하여 인접 개구로 유동하는 것인, 상부 표면을 갖는 기판 상에 금속 합금을 형성시키는 방법.
  11. 제10항에 있어서, 상기 가스를 유동시키는 단계가 Ge를 함유하는 가스를 포함하는 것인 방법.
  12. 제10항에 있어서, 상기 가스를 유동시키는 단계가 GeH4및 Ge2H6로 이루어진 군으로부터 선택된 가스를 포함하는 것인 방법.
  13. 제10항에 있어서, 상기 게르마늄을 함유하는 가스를 유동시키는 단계 후에, W 를 함유하는 가스를 유동시키는 단계를 더 포함하여, 상기 금속 합금 위에 연마 저지대를 위한 WxGey의 하드 캡층을 형성시키는 것인 방법.
  14. 제11항에 있어서, 상기 게르마늄을 함유하는 가스를 유동시키는 단계 후에, W 를 함유하는 가스를 유동시키는 단계를 더 포함하여, 상기 금속 합금 위에 연마 저지대를 위한 WxGey의 하드 캡층을 형성시키는 것인 방법.
  15. 제14항에 있어서, 반응성 이온 에칭에 의해 상기 하드 캡층을 제거하는 단계를 더 포함하는 것인 방법.
  16. 제11항에 있어서, 상기 게르마늄 가스를 유동시키는 단계가 1 mT 내지 760 Torr의 압력에서 상기 게르마늄 가스를 유동시키는 것을 포함하는 것인 방법.
  17. 제16항에 있어서, 상기 게르마늄 가스를 유동시키는 단계가 1 Torr의 압력에서 상기 게르마늄 가스를 유동시키는 것을 포함하는 것인 방법.
  18. 기판의 상부 표면을 300 내지 450℃의 온도 및 1 Torr 미만의 압력에서 가열하는 단계, 및 하나 이상의 높은 종횡비의 비어 및 라인을 비저항이 낮은 금속으로 충전하여 상기 하나 이상의 높은 종횡비의 비어 및 라인을 충전하는 단계를 포함하는, 상부 표면을 갖는 기판 상에 하나 이상의 높은 종횡비와 비어 및 라인을 충전하는 방법.
  19. 제18항에 있어서, 상기 가열 단계가 상기 기판을 350 내지 400℃에서 가열하는 것을 포함하는 것인 방법.
  20. 제19항에 있어서, 상기 비저항이 낮은 금속이 Al, Al-Cu, 및 Cu 중의 어느 하나를 포함하고, 상기 방법이 상기 상부 표면 위에 게르마늄 가스를 유동시킨 후, 상기 기판의 상기 상부 표면 위에 텅스텐을 함유하는 가스를 유동시키는 것을 더 포함하여 WxGey의 하드 캡을 생성하는 것인 방법.
  21. 제18항에 있어서, 상기 가열 단계가 350 내지 400℃와 온도 및 0.2 mT 내지 0.8 mT의 압력에서 상기 상부 표면을 가열하는 것을 포함하는 것인 방법.
  22. 충전하고자 하는 재료의 스텝 커버리지와 충전하고자 하는 재료의 원자량이 소정의 관계를 만족시키도록, 하나 이상의 높은 종횡비의 비어 및 라인을 실온 및 1 Torr 미만의 압력에서 표면 확산에 의해 충전하는 단계를 포함하고, 상부 표면이 노출된 알루미늄, 알루미늄-구리 및 구리 합금 중 하나 이상을 함유하는 영역을 가져서 상기 하나 이상의 알루미늄, 알루미늄-구리 및 구리 합금이 게르마늄과 반응하여 인접 개구로 유동하는 게르마늄 합금을 형성하는 것인, 하나 이상의 높은 종횡비의 비어 및 라인에 공극이 형성되는 것을 방지하기 위한 하나 이상의 높은 종횡비의 비어 및 라인을 상부 표면을 갖는 기판 상에 충전하는 방법.
  23. 제22항에 있어서, 소정의 관계가 다음과 같은 것인 방법.
    SC=K · MP -a· MW -a· P-b· AR -c· θ-d· Te
    상기 식 중, SC는 충전하고자 하는 재료의 스텝 커버리지이고, MP는 재료의 융점이고, MW는 재료의 원자량이고, AR은 트렌치 또는 홀의 종횡비이고, P는 작동 압력(mT)이고, T는 키판 온도(℃)이고, θ는 무단위 양이고, tan(θ)는 D1-D2/2H이고, K는 재료 의존 상수이고, a는 0.2이고, b는 0.25(고 중량)-0.51(저 중량)이고, c는 0.21-0.27이고,(저 중량, 예를 들어 Al, Al-Cu에 대해서는) 0.03 이하이고, d는 0.1 이하이고, e는 0.6-0.7이고, K는 3-5(약 4,0-4.5)이고, D1은 타켓의 직경이고, D2는 기판의 직경이고, H는 타겟과 기판 사이의 거리이다.
  24. 제23항에 있어서, 상기 기판의 상기 상부 표면 상에 상기 게르마늄을 함유하는 가스를 유동시키는 것을 더 포함하는 방법.
  25. 제22항에 있어서, 상기 기판의 상기 상부 표면 상에 상기 게르마늄을 함유하는 가스를 유동시키는 것을 더 포함하는 방법.
  26. 제거하고자 하는 금속층의 경도의 2배 이상이 되는 경도를 갖는 슬러리를 사용하여 화학적 및 기계적 연마에 의해 소정의 경도를 갖는 상기 금속층을 제거하는 단계를 포함하는, 소정의 경도를 갖는 금속층을 포함하는 스택이 조립되어 있는 기판 상에 반응성 이온 에칭과 함께 이중 다마신(damascene) 및 단일 다마신 구조물중 어느 하나를 생성시키는 방법.
  27. 제26항에 있어서, 상기 금속층이 WxGey로 이루어진 하드 캡을 갖고, 상기 제거 단계가 알루미나를 포함하는 슬러리를 사용하는 화학적 및 기계적 연마를 포함하는 것인 방법.
KR1019950024042A 1994-08-05 1995-08-04 표면 확산에 의한 높은 종횡비 및 낮은 비저항의 라인/비어 KR0177537B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US28660594A 1994-08-05 1994-08-05
US8/286,605 1994-08-05

Publications (2)

Publication Number Publication Date
KR960009109A KR960009109A (ko) 1996-03-22
KR0177537B1 true KR0177537B1 (ko) 1999-04-15

Family

ID=23099349

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019950024042A KR0177537B1 (ko) 1994-08-05 1995-08-04 표면 확산에 의한 높은 종횡비 및 낮은 비저항의 라인/비어

Country Status (6)

Country Link
US (4) US5856026A (ko)
EP (2) EP0915501B1 (ko)
JP (1) JP3083735B2 (ko)
KR (1) KR0177537B1 (ko)
DE (2) DE69513459T2 (ko)
TW (1) TW344101B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015195081A1 (en) * 2014-06-16 2015-12-23 Intel Corporation Seam healing of metal interconnects

Families Citing this family (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ATE188863T1 (de) * 1994-02-25 2000-02-15 Fischell Robert Stent
DE69513459T2 (de) * 1994-08-05 2000-10-26 Ibm Verfahren zur Herstellung einer Al-Ge Legierung mit einer WGe Polierstoppschicht
US5789317A (en) * 1996-04-12 1998-08-04 Micron Technology, Inc. Low temperature reflow method for filling high aspect ratio contacts
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US6309971B1 (en) 1996-08-01 2001-10-30 Cypress Semiconductor Corporation Hot metallization process
US5916453A (en) * 1996-09-20 1999-06-29 Fujitsu Limited Methods of planarizing structures on wafers and substrates by polishing
JP3583562B2 (ja) 1996-10-18 2004-11-04 株式会社東芝 半導体装置
KR100221656B1 (ko) * 1996-10-23 1999-09-15 구본준 배선 형성 방법
US6171957B1 (en) * 1997-07-16 2001-01-09 Mitsubishi Denki Kabushiki Kaisha Manufacturing method of semiconductor device having high pressure reflow process
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6140228A (en) 1997-11-13 2000-10-31 Cypress Semiconductor Corporation Low temperature metallization process
US6211073B1 (en) 1998-02-27 2001-04-03 Micron Technology, Inc. Methods for making copper and other metal interconnections in integrated circuits
US6015749A (en) * 1998-05-04 2000-01-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between copper and titanium nitride, for copper interconnect structures, via the use of an ion implantation procedure
US6362097B1 (en) * 1998-07-14 2002-03-26 Applied Komatsu Technlology, Inc. Collimated sputtering of semiconductor and other films
KR100265772B1 (ko) * 1998-07-22 2000-10-02 윤종용 반도체 장치의 배선구조 및 그 제조방법
US6287977B1 (en) * 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
US6284656B1 (en) 1998-08-04 2001-09-04 Micron Technology, Inc. Copper metallurgy in integrated circuits
US6288442B1 (en) 1998-09-10 2001-09-11 Micron Technology, Inc. Integrated circuit with oxidation-resistant polymeric layer
US6004188A (en) * 1998-09-10 1999-12-21 Chartered Semiconductor Manufacturing Ltd. Method for forming copper damascene structures by using a dual CMP barrier layer
US6051496A (en) * 1998-09-17 2000-04-18 Taiwan Semiconductor Manufacturing Company Use of stop layer for chemical mechanical polishing of CU damascene
US6180480B1 (en) * 1998-09-28 2001-01-30 International Business Machines Corporation Germanium or silicon-germanium deep trench fill by melt-flow process
US6069082A (en) * 1998-10-13 2000-05-30 Chartered Semiconductor Manufacturing Ltd. Method to prevent dishing in damascene CMP process
US6274253B1 (en) * 1998-11-13 2001-08-14 Micron Technology, Inc. Processing methods for providing metal-comprising materials within high aspect ratio openings
US6143657A (en) * 1999-01-04 2000-11-07 Taiwan Semiconductor Manufacturing Company Method of increasing the stability of a copper to copper interconnection process and structure manufactured thereby
US6130162A (en) * 1999-01-04 2000-10-10 Taiwan Semiconductor Manufacturing Company Method of preparing passivated copper line and device manufactured thereby
US6174799B1 (en) * 1999-01-05 2001-01-16 Advanced Micro Devices, Inc. Graded compound seed layers for semiconductors
US6114246A (en) * 1999-01-07 2000-09-05 Vlsi Technology, Inc. Method of using a polish stop film to control dishing during copper chemical mechanical polishing
US20020127845A1 (en) * 1999-03-01 2002-09-12 Paul A. Farrar Conductive structures in integrated circuits
US6281127B1 (en) 1999-04-15 2001-08-28 Taiwan Semiconductor Manufacturing Company Self-passivation procedure for a copper damascene structure
US6194307B1 (en) 1999-04-26 2001-02-27 Taiwan Semiconductor Manufacturing Company Elimination of copper line damages for damascene process
US6071808A (en) * 1999-06-23 2000-06-06 Lucent Technologies Inc. Method of passivating copper interconnects in a semiconductor
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US6387810B2 (en) * 1999-06-28 2002-05-14 International Business Machines Corporation Method for homogenizing device parameters through photoresist planarization
US6248665B1 (en) 1999-07-06 2001-06-19 Taiwan Semiconductor Manufacturing Company Delamination improvement between Cu and dielectrics for damascene process
US6391780B1 (en) 1999-08-23 2002-05-21 Taiwan Semiconductor Manufacturing Company Method to prevent copper CMP dishing
US6248002B1 (en) 1999-10-20 2001-06-19 Taiwan Semiconductor Manufacturing Company Obtaining the better defect performance of the fuse CMP process by adding slurry polish on more soft pad after slurry polish
US6114243A (en) * 1999-11-15 2000-09-05 Chartered Semiconductor Manufacturing Ltd Method to avoid copper contamination on the sidewall of a via or a dual damascene structure
US6344419B1 (en) 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6627541B1 (en) * 1999-12-15 2003-09-30 Texas Instruments Incorporated Reflow method for construction of conductive vias
US6361880B1 (en) 1999-12-22 2002-03-26 International Business Machines Corporation CVD/PVD/CVD/PVD fill process
US6455427B1 (en) 1999-12-30 2002-09-24 Cypress Semiconductor Corp. Method for forming void-free metallization in an integrated circuit
US6969448B1 (en) 1999-12-30 2005-11-29 Cypress Semiconductor Corp. Method for forming a metallization structure in an integrated circuit
US7262130B1 (en) * 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6420262B1 (en) * 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US7211512B1 (en) * 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
US6376370B1 (en) 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US6339029B1 (en) 2000-01-19 2002-01-15 Taiwan Semiconductor Manufacturing Company Method to form copper interconnects
US6329290B1 (en) * 2000-02-24 2001-12-11 Conexant Systems, Inc. Method for fabrication and structure for high aspect ratio vias
US6674167B1 (en) * 2000-05-31 2004-01-06 Micron Technology, Inc. Multilevel copper interconnect with double passivation
US6423629B1 (en) * 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
US6554979B2 (en) 2000-06-05 2003-04-29 Applied Materials, Inc. Method and apparatus for bias deposition in a modulating electric field
DE10032792A1 (de) * 2000-06-28 2002-01-17 Infineon Technologies Ag Verfahren zur Herstellung einer Verdrahtung für Kontaktlöcher
US6429118B1 (en) 2000-09-18 2002-08-06 Taiwan Semiconductor Manufacturing Company Elimination of electrochemical deposition copper line damage for damascene processing
US6383935B1 (en) 2000-10-16 2002-05-07 Taiwan Semiconductor Manufacturing Company Method of reducing dishing and erosion using a sacrificial layer
US6433402B1 (en) * 2000-11-16 2002-08-13 Advanced Micro Devices, Inc. Selective copper alloy deposition
US7067440B1 (en) 2001-08-24 2006-06-27 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6746591B2 (en) 2001-10-16 2004-06-08 Applied Materials Inc. ECP gap fill by modulating the voltate on the seed layer to increase copper concentration inside feature
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US7138719B2 (en) * 2002-08-29 2006-11-21 Micron Technology, Inc. Trench interconnect structure and formation method
US7122485B1 (en) 2002-12-09 2006-10-17 Novellus Systems, Inc. Deposition profile modification through process chemistry
US7220665B2 (en) * 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US7476621B1 (en) 2003-12-10 2009-01-13 Novellus Systems, Inc. Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill
US7344996B1 (en) 2005-06-22 2008-03-18 Novellus Systems, Inc. Helium-based etch process in deposition-etch-deposition gap fill
US7199045B2 (en) * 2004-05-26 2007-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-filled openings for submicron devices and methods of manufacture thereof
US7217658B1 (en) 2004-09-07 2007-05-15 Novellus Systems, Inc. Process modulation to prevent structure erosion during gap fill
US7176039B1 (en) 2004-09-21 2007-02-13 Novellus Systems, Inc. Dynamic modification of gap fill process characteristics
US7381451B1 (en) 2004-11-17 2008-06-03 Novellus Systems, Inc. Strain engineering—HDP thin film with tensile stress for FEOL and other applications
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
US20070052107A1 (en) * 2005-09-05 2007-03-08 Cheng-Ming Weng Multi-layered structure and fabricating method thereof and dual damascene structure, interconnect structure and capacitor
US7563714B2 (en) * 2006-01-13 2009-07-21 International Business Machines Corporation Low resistance and inductance backside through vias and methods of fabricating same
US7491643B2 (en) * 2006-05-24 2009-02-17 International Business Machines Corporation Method and structure for reducing contact resistance between silicide contact and overlying metallization
US7482245B1 (en) 2006-06-20 2009-01-27 Novellus Systems, Inc. Stress profile modulation in STI gap fill
US7648921B2 (en) * 2006-09-22 2010-01-19 Macronix International Co., Ltd. Method of forming dielectric layer
US7666781B2 (en) * 2006-11-22 2010-02-23 International Business Machines Corporation Interconnect structures with improved electromigration resistance and methods for forming such interconnect structures
US7629212B2 (en) * 2007-03-19 2009-12-08 Texas Instruments Incorporated Doped WGe to form dual metal gates
US7651939B2 (en) 2007-05-01 2010-01-26 Freescale Semiconductor, Inc Method of blocking a void during contact formation
US7994034B2 (en) * 2008-03-10 2011-08-09 Ovonyx, Inc. Temperature and pressure control methods to fill features with programmable resistance and switching devices
KR100905872B1 (ko) * 2007-08-24 2009-07-03 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성 방법
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
KR20120124634A (ko) * 2011-05-04 2012-11-14 삼성전자주식회사 반도체 장치의 제조 방법 및 이를 포함하는 반도체 패키지의 제조 방법
US8697562B2 (en) 2011-06-23 2014-04-15 Richard L. McCreery Metal contacts for molecular device junctions and surface-diffusion-mediated deposition
US8575000B2 (en) * 2011-07-19 2013-11-05 SanDisk Technologies, Inc. Copper interconnects separated by air gaps and method of making thereof
KR20140124386A (ko) * 2012-02-13 2014-10-24 어플라이드 머티어리얼스, 인코포레이티드 실리콘-트렌치 필 상에서의 선택적인 에피택셜 게르마늄 성장 및 인-시튜 도핑
WO2013171235A1 (en) 2012-05-14 2013-11-21 Imec Method for manufacturing germanide interconnect structures and corresponding interconnect structures
US9953940B2 (en) 2015-06-26 2018-04-24 International Business Machines Corporation Corrosion resistant aluminum bond pad structure
JP6896291B2 (ja) * 2016-06-17 2021-06-30 国立研究開発法人産業技術総合研究所 タングステンとゲルマニウムの化合物膜及び半導体装置
US11183443B2 (en) * 2019-06-13 2021-11-23 Nanya Technology Corporation Semiconductor structure and method for manufacturing the same

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL278654A (ko) * 1961-06-08
US3222630A (en) * 1961-06-26 1965-12-07 Texas Instruments Inc Aluminum-germanium contact
US3501829A (en) * 1966-07-18 1970-03-24 United Aircraft Corp Method of applying contacts to a microcircuit
US4022625A (en) * 1974-12-24 1977-05-10 Nl Industries, Inc. Polishing composition and method of polishing
US4188710A (en) * 1978-08-11 1980-02-19 The United States Of America As Represented By The Secretary Of The Navy Ohmic contacts for group III-V n-type semiconductors using epitaxial germanium films
US4207546A (en) * 1978-12-07 1980-06-10 United Technologies Corporation Phase and amplitude programmable internal mixing SAW signal processor
US4301188A (en) * 1979-10-01 1981-11-17 Bell Telephone Laboratories, Incorporated Process for producing contact to GaAs active region
US4321099A (en) * 1979-11-13 1982-03-23 Nasa Method of fabricating Schottky barrier solar cell
JPH01107558A (ja) * 1987-10-20 1989-04-25 Matsushita Electric Ind Co Ltd 金属薄膜配線の製造方法
US5121174A (en) * 1987-10-23 1992-06-09 Vitesse Semiconductor Corporation Gate-to-ohmic metal contact scheme for III-V devices
EP0325232B1 (en) * 1988-01-19 1996-09-11 Fujimi Incorporated Polishing composition
US4908182A (en) * 1988-04-11 1990-03-13 Polytechnic University Rapidly solidified high strength, ductile dispersion-hardened tungsten-rich alloys
JPH02257640A (ja) * 1989-03-30 1990-10-18 Oki Electric Ind Co Ltd 半導体素子の製造方法
JPH03122273A (ja) * 1989-10-06 1991-05-24 Hitachi Ltd マイクロ波を用いた成膜装置
KR960001601B1 (ko) * 1992-01-23 1996-02-02 삼성전자주식회사 반도체 장치의 접촉구 매몰방법 및 구조
JP2841976B2 (ja) * 1990-11-28 1998-12-24 日本電気株式会社 半導体装置およびその製造方法
US5143867A (en) * 1991-02-13 1992-09-01 International Business Machines Corporation Method for depositing interconnection metallurgy using low temperature alloy processes
JPH04334019A (ja) * 1991-05-09 1992-11-20 Hitachi Ltd 化合物半導体装置の製造方法
US5171412A (en) * 1991-08-23 1992-12-15 Applied Materials, Inc. Material deposition method for integrated circuit manufacturing
US5262354A (en) * 1992-02-26 1993-11-16 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5300813A (en) * 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
JP2547935B2 (ja) * 1992-04-30 1996-10-30 インターナショナル・ビジネス・マシーンズ・コーポレイション 半導体集積回路の相互接続構造の形成方法
US5314840A (en) * 1992-12-18 1994-05-24 International Business Machines Corporation Method for forming an antifuse element with electrical or optical programming
US5356513A (en) * 1993-04-22 1994-10-18 International Business Machines Corporation Polishstop planarization method and structure
US5300130A (en) * 1993-07-26 1994-04-05 Saint Gobain/Norton Industrial Ceramics Corp. Polishing material
US5332467A (en) * 1993-09-20 1994-07-26 Industrial Technology Research Institute Chemical/mechanical polishing for ULSI planarization
DE69513459T2 (de) * 1994-08-05 2000-10-26 Ibm Verfahren zur Herstellung einer Al-Ge Legierung mit einer WGe Polierstoppschicht
US5527423A (en) * 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015195081A1 (en) * 2014-06-16 2015-12-23 Intel Corporation Seam healing of metal interconnects
US10068845B2 (en) 2014-06-16 2018-09-04 Intel Corporation Seam healing of metal interconnects

Also Published As

Publication number Publication date
DE69529775D1 (de) 2003-04-03
EP0915501B1 (en) 2003-02-26
EP0915501A1 (en) 1999-05-12
EP0697730A3 (en) 1996-08-14
DE69513459D1 (de) 1999-12-30
US5897370A (en) 1999-04-27
DE69513459T2 (de) 2000-10-26
DE69529775T2 (de) 2003-10-16
EP0697730B1 (en) 1999-11-24
US5731245A (en) 1998-03-24
JPH0864599A (ja) 1996-03-08
US5877084A (en) 1999-03-02
US5856026A (en) 1999-01-05
TW344101B (en) 1998-11-01
EP0697730A2 (en) 1996-02-21
KR960009109A (ko) 1996-03-22
JP3083735B2 (ja) 2000-09-04

Similar Documents

Publication Publication Date Title
KR0177537B1 (ko) 표면 확산에 의한 높은 종횡비 및 낮은 비저항의 라인/비어
US6323554B1 (en) Refractory metal capped low resistivity metal conductor lines and vias formed using PVD and CVD
US5262354A (en) Refractory metal capped low resistivity metal conductor lines and vias
US5925933A (en) Interconnect structure using Al2 -Cu for an integrated circuit chip
US7951712B2 (en) Interconnections having double capping layer and method for forming the same
EP0933814A1 (en) A metallization structure on a fluorine-containing dielectric and a method for fabrication thereof
US6576543B2 (en) Method for selectively depositing diffusion barriers
US7109557B2 (en) Sacrificial dielectric planarization layer
US6242811B1 (en) Interlevel contact including aluminum-refractory metal alloy formed during aluminum deposition at an elevated temperature
KR100788064B1 (ko) 반도체 디바이스에 대한 금속화를 형성하는 방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Publication of correction
FPAY Annual fee payment

Payment date: 20041029

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee