JPS62102545A - 導体パタ−ンの形成方法 - Google Patents

導体パタ−ンの形成方法

Info

Publication number
JPS62102545A
JPS62102545A JP61226378A JP22637886A JPS62102545A JP S62102545 A JPS62102545 A JP S62102545A JP 61226378 A JP61226378 A JP 61226378A JP 22637886 A JP22637886 A JP 22637886A JP S62102545 A JPS62102545 A JP S62102545A
Authority
JP
Japan
Prior art keywords
photoresist
conductive material
layer
polymeric
patterned
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP61226378A
Other languages
English (en)
Other versions
JPH0611040B2 (ja
Inventor
ミング−フイ・チヨウ
ウイリアム・レスリー・ギヤスリー
フランク・ビー・カーフマン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPS62102545A publication Critical patent/JPS62102545A/ja
Publication of JPH0611040B2 publication Critical patent/JPH0611040B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/46Manufacturing multilayer circuits
    • H05K3/4644Manufacturing multilayer circuits by building the multilayer layer by layer, i.e. build-up multilayer circuits

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 A、産業上の利用分野 本発明は微細な線、パターン及びコネクタを形成する方
法に関する。この方法は電子装置の製造に特に有用であ
る。
B、従来技術 ミクロン及びサブミクロンの寸法の電子装置の製造は微
細な導体線、パターン及びコネクタの形成に高度の正確
さを要求する。これ等の微細な線及びパターンは個々の
電子装置構造体の一部でもよく、一つの大きな構造体も
しくはパッケージ内に含まれる多くの電子装置間を接続
する線でもよい。
微細な導体線及びパターンを形成するための最も良く知
られ、最もしばしば使用されている技法はリフト・オフ
(剥離)技法である。リフト・オフ方法では、基板を重
合体の放射線感応層(レジスト層)で覆い、その上面を
照射して層内に溶解度に差のある即ち耐エッチ性のある
パターンを形成する。パターンの現像は照射したレジス
ト層を照射部分を溶解する溶剤に露らすか(ポジティブ
・レジスト)非照射部分を溶解する溶剤に露らす(ネガ
ティブ・レジスト)事によって行われる。
レジストを現像する他の方法は照射したレジストをレジ
ストの照射部分と反応する有機金属試剤と反応させ、次
にレジスト層を酸素のプラズマの様なエッチャントに露
らし、レジスト層のより耐工ッチ性の非照射部分を除去
する方法である。パターン化したレジスト層を形成した
後に、パターン化したレジストの表面上に導体層を付着
し、パターン化したレジスト層中の開孔に導体材料を下
の基板に接する様に充填する。その後重合体のレジスト
を熱的にもしくは溶剤で処理して重合体材料を蒸発もし
くは溶解し、同時に上の導体材料を剥離する。パターン
化したレジスト層中の開孔を充填した導体材料の部分が
基板上に残る。このリフト・オフ方法の欠点はパターン
化したレジスト中の開孔を充填している導体材料の部分
がしばしばパターン化したレジスト上の導体材料と一緒
に除去される点にある。この様にして不完全な線もしく
はパターンが残り、しばしば導体線に沿って孔が形成さ
れる事もあり、電子装置が機能しなくなる。
最近、半導体ウェハの化学機械的ポリッシングによって
研摩してウェハの平坦性を正確にする技術が開発された
。この方法は米国特許第4450652号に開示されて
いる。さらに、化学機械的方法を使用して表面の部分と
化学的に反応させ、化学的に反応している部分に機械的
な力を加えて、表面の平坦でない部分の除去を増強する
方法は米国特許第4435247号に開示されている。
現在使用されているリフト・オフ技術よりも信頼性があ
り、安価な微細導体線の形成方法があればマイクロエレ
クトロニクス工業の分野で望ましい事は云うまでもない
。さらに、内部で微細線及びコネクタ(水平及び垂直方
向の)の様な導体部分が他の導体部分と絶縁している重
合体の絶縁体より成る構造体を形成する簡単な方法が望
まれる。
C0発明が解決しようとする問題点 本発明の目的はリフト・オフ技術よりも信頼性があり、
しかも安価な、微細な導体パターンの形成方法を与える
事にある。
D0問題点を解決するための手段 本発明の方法は次の段階より成る。(a)重合体材料を
基板に付着する。(b)上記重合体材料をパターン化し
て該重合体に開口を形成する。(C)パターン化した重
合体材料に導体(導電性)材料を付着して、少なくとも
重合体材料に存在する開孔を充填する。(d)重合体材
料の外側主表面上に重畳している余分の導体材料を化学
機械的ポリッシングによって除去し、少なくとも重合体
材料の外側主表面を露出する。
この様にして形成した構造体は平坦な外部表面を有し、
パターン化した重合体材料中の開口を充填している導体
材料が、パターン化した重合体材料によって囲まれた微
細線、パターン及びコネクタの様な導体部分となる。最
初に重合体材料をパターン化する際の開口の深さに依存
して、導体材料は化学機械的に研摩した平坦な表面から
重合体材料の下の基板の表面まで貫通して延びることが
出来、もしくは平坦な研摩表面から重合体材料内の特定
の中間深さまで遮びる事が出来る。
重合体材料が電子装置にとって適切な絶縁体である時は
、重合体材料を絶縁体としてそのまま残す事が有用であ
る。開口の深さが基板表面に達し、重合体材料を他の異
なる材料で置換えたい時は、重合体材料を標準の溶剤も
しくは酸素プラズマを使用して除去し、基板の表面上に
微a線、パターン及びコネクタなどの導体部分だけを残
す。
本発明に従い、2層以上の重合体材料を使用する事が可
能である。この時重合体材料の少なくとも下の一層は絶
縁体にし、最上部の層はホトレジストにする。最上部の
ホトレジスト層は活性線に露らし1次に溶剤によって現
像するといった標準の方法によってパターン化する。次
にこのパターンを反応性イオン・エツチングもしくは酸
素プラズマ・エツチングの様なドライ・エッチ技術を使
用してパターン化したホトレジストの最上部層から下の
重合体材料層に転写する。この転写方法ではホトレジス
トのエツチング速度は下の重合体材料よりも十分遅く、
もしくはホトレジストの層が十分厚くて下の重合体の絶
縁体を効率的にエツチングできる事が必要である。次に
導体材料をホトレジストのパターン化した層及び下のパ
ターン化した重合体材料層に付着して1重合体層の開口
を充填する。次にホトレジストに重畳する導体材料を化
学機械的ポリッシングによって除去して少なくともホト
レジストの上の外部主表面を露出する。
その後、ホトレジストを溶剤もしくはドライ・エッチ法
を使用して除去する。必要ならば重合体の絶縁体の追加
の層を露出した導体線、パターンもしくはコネクタを覆
う様に付着する。ホトレジス1へは化学機械的ポリッシ
ング中のホトレジストの除去速度が導体材料の除去速度
と略同じ様に選択される。これによって導体材料とホト
レジストが少なくともパターン化した絶縁体の上表面迄
同時に除去される。研摩後の構造体は平坦な表面をなし
、導体材料がパターン化した重合体絶縁体中の開口を充
填している。
代表的な導体材料は金属もしくは金属合金より成る。好
ましい導体材料は銅、アルミニウム、銅合金、アルミニ
ウム合金、特にアルミニウムー銅合金及び少なくとも1
0%に達するシリコンを含むアルミニウムーシリコン合
金である。導体材料が金属より成る時は、スパッタ付着
方法、化学的蒸着方法もしくはキャリア材料を使用して
付着される。キャリア材料はそのまま残しても良く、除
去しても良い。
化学機械的ポリッシングに使用するスラリか重合体ホト
レジストよりも導体材料に対して著しく高い除去速度を
示す時には、ホトレジストがエッチ・ストップ層として
使用されるという利点がある。この条件に対する例外は
重合体絶縁体をホトレジストの層に対する下の層として
使用し、導体材料及びホトレジスト材料を重合体の絶縁
層の表面から化学機械的研摩によって同時に除去する事
が望まれている場合である。この後者の場合の導体材料
及び重合体ホトレジストの除去速度は略等しく1重合体
絶縁体の除去速度は導体材料とホトレジストの除去速度
よりもかなり小さくなくてはならない。
研摩スラリは、該スラリが除去される材料と反応するが
そのまま残したい下の重合体材料とは反応しない成分よ
り成る事が好ましい。そのまま残す重合体材料はホトレ
ジストもしくはホトレジストの下の絶縁体である。
成る種のレジスト材料は導体材料の付着中の熱的安定性
並びに化学機械的ポリッシング中の熱的及び機械的安定
性の見地からより良く機能する事がわかっている。化学
機械的ポリッシング中には著しい機械的応力及び摩擦熱
が発生する。さらに、化学機械的ポリッシングには化学
的作用が増強される最適温度が存在する。有用なホトレ
ジスト材料は著しい変形を示す前に少なくとも約60乃
至約120メガパスカルの機械的応力に耐える事が必要
とされる。有用なホトレジスト材料は少なくとも約12
0℃の温度で熱的に安定であり、少なくとも約90℃の
ガラス転位温度をもたなければならない。好ましいホト
レジスト材料は少なくとも160℃程度の高い温度で熱
的に安定で、少なくとも約150℃のガラス転位温度を
有さなければならない。上記の要件を満足する重合体ホ
トレジスト材料としては、例えばハード・ベークされた
ノボラック、ポリイミド・ホトレジスト、ポリグルタル
イミド・ホトレジスト、シリコンを含むホトレジスト、
シリル化(シリコン含有)試剤の様な有機金属化合物と
反応する官能基を有するホトレジスト材料を含む。ホト
レジストと反応する多官能性有機金属化合物の使用によ
って、架橋結合を与える事が出来、また金属をレジスト
中に組込む事が出来るという利点が生ずる。この結果高
温での熱的安定性が得られる。さらにホトレジスト材料
のシリル化によってホトレジストの誘電定数が減少し、
ホトレジストはこれを絶縁体としてその場に残したい時
により良好な絶縁体になる。
ホトレジストを絶縁体としてそのまま残す時の誘電定数
の好ましい範囲は約100KHzの周波数で約2乃至約
5である。種々のホトレジスト材料を有機金属試剤と反
応させる事によって多くの異なるレジスト構造を与える
方法については、特願昭60−22142号、同60−
270870号、同60−283207号、同60−2
89538号、同61−55205号、及び同61−8
8401号に開示されている。
E、実施例 本発明は微細な導体線、パターン及びコネクタを形成す
る方法を与える。本発明の方法に従えば。
以下に説明する実施例を含めて、多くの実施例が可能で
ある。
微細な導体線、パターン及びコネクタを形成する方法の
1つの好ましい実施例は次の段階を含む。
(a)ホトレジスト材料の層を基板の表面に付着する。
(b)ホトレジスト材料をパターン化してホトレジスト
に基板表面に達する開口を形成する。
(c)パターン化したホトレジストに導体材料を付着し
て、ホトレジスト中の開口を導体材料で充填する。
(d)化学機械的ポリッシングを使用してホトレジスト
の外部主表面から余分の導体材料を除去し、ホトレジス
トの開口を充填している導体材料を残す。
上述の実施例を第1図(A)乃至第1図(D)に示す。
第1図−(A)はシリコン・ウェハ10上の平坦でない
基板表面(例えば、2酸化シリコン店)12上に付着し
たホトレジスト層14を示す。
第1図(B)はパターン化して開口16を形成した後の
ホトレジスト層14を示す。開口16は孔、溝などの任
意の形状で形成できる。第1図(C)はホトレジスト層
14の表面に導体材料を付着した後の構造体を示す。第
1図(D)は化学機械的ポリッシングを行ってホトレジ
スト14の外側主表面から余分な導体材料を除去した後
の結果の構造体を示す。
第2の実施例は上述の段階(a)乃至(d)のすべてを
含み、そして重合体材料の開口の少なくとも一部が下の
基板まで延びており、さらに、パターン化したホトレジ
ストを溶剤もしくは酸素プラズマ・エッチを使用して除
去し、基板の表面と接する上記導体材料の部分を残す追
加の段階(e)を含む。
第1図(E)は導体材料18を基板12の表面上に残す
この追加の段階を示す。
微細な導体線、パターン及びコネクタを形成する本発明
の方法の第3の実施例は次の段階より成る。
(a)ホトレジスト材料を基板の表面に付着する。
(b)エツチング技法を使用してホトレジスト材料をパ
ターン化し、ホトレジストに基板表面に達しない開口の
パターンを形成する。
(c)導体材料を層をホトレジストの表面に付着し、ホ
トレジストの開口を導体材料で充填する。
(d)化学機械的ポリッシングを使用して、ホトレジス
トの外部主表面から導体材料を除去して、ホトレジスト
の開口を充填した導体材料を残す。
本発明の微細な導体線、パターン及びコネクタを形成す
る方法の第4の好ましい実施例は次の段階より成る。
(a)ホトレジスト材料を基板の表面に付着する。
(b)ホトレジストをパターン化して、ホトレジスト中
に開口を形成する。
(C)ホトレジストを有機金属化合物で処理し、有機金
属化合物を少なくともホトレジストと有機金属化合物間
の接触表面に近いホトレジストの部分に導入する。
(d)ホトレジストの処理表面に導体材料を付着し、処
理したホトレジストの開口を導体材料で充填する。
(e)化学機械的ポリッシング手段を使用して、ホトレ
ジストの外部表面から少なくとも余分の導体材料を除去
する。
第4の好ましい実施例は第2図(A)乃至第2図(E)
に示されている。第2図(A)はシリコン・ウェハ20
上の平坦でない基板表面22上に付着したホトレジスト
材料24の層を示す。第2図(B)はパターン化して開
口26を形成した後のホトレジスト材料24の層を示す
。開口26は基板表面に達しても達しなくてもよい。第
2図(C)は有機金属化合物で処理し、有機金属化合物
をホトレジスト中にとり込んだ後のホトレジスト材料の
反応層28を示す。第2図(D)は処理したホトレジス
ト層28の表面に導体材料3oを付着した後の構造体を
示す。第2図(E)は化学機械的ポリッシングによって
ホトレジストの外部主表面32から導体材料を除去した
後の構造体を示す。
第5の好ましい実施例は第4の好ましい実施例の段階(
a)乃至(e)のすべて及びパターン化したホトレジス
トを除去して少なくとも一部が基板と接触する導体材料
を残す追加の段階(f)を含む。
微細な導体線、パターン及びコネクタを形成する方法の
第6の実施例は次の段階より成る。
(a)ホトレジスト材料を基板の表面に付着する。
(b)ホトレジスト材料をパターン化した放射線に露ら
して、ホトレジスト内に潜像を形成する。この潜像は有
機金属化合物と反応出来るか、有機金属化合物の侵透を
制御出来るものである。
(C)潜像を形成したホトレジストを有機金属化合物で
処理する。
(d)酸素プラズマの様なドライ・エツチング技術を使
用して、露光されなかった部分(即ち、露光部分のよう
に有機金属化合物と反応し、有機金属化合物が侵透した
部分によって保護されていないホトレジストの部分)を
除去してホトレジスト中に開口を形成する。
(a)エッチしたホトレジストに導体材料を付着して、
ホトレジストの開口を導体材料で充填する。
(f)化学機械的ポリッシングを使用した後に、ホトレ
ジストの外部主表面から少なくとも余分な導体材料を除
去して、ホトレジストの開口を充填した導体材料を残す
第6の好ましい実施例で説明した方法は、ホトレジスト
の開口がホトレジストの表面から基板表面まで延びるか
、もしくは基板表面まで達しない様に行われる。本発明
の方法の第7の実施例は第6の実施例の段階(a)乃至
(f)より成り、ホトレジスト中に形成した開口の少な
くとも一部がホトレジストの下の基板迄延びる様に行わ
れるが、さらにホトレジストを除去して基板と接触する
導体材料の部分を残す追加の段階(g)を含む。
微細な導線、パターン及びコネクタを形成する本発明の
第8の好ましい方法は次の段階より成る。
(a)重合体絶縁体を基板の表面に付着する。
(b)ホトレジスト材料を重合体絶縁体の外部表面に付
着する。
(c)ホトレジスト材料をパターン化してホトレジスト
に重合体絶縁体の表面に達する開口を形成する。
(d)パターン化したホトレジストを有機金属化合物で
処理しホトレジストを耐エッチ性にする。
(e)ホトレジストのパターンを重合体絶縁体に転写す
る。
(f)導体材料をホトレジストの表面に付着して、少な
くともホトレジスト及び下の重合体絶縁体中の開口を導
体材料で充填する。
(g)化学機械的ポリッシングを使用して、ホトレジス
トの外部主表面から余分な導体材料を除去し、ホトレジ
スト中の開口を充填した導体材料を残す。
(h)パターン化したホトレジストを除去して1重合体
絶縁体の表面上に延びる導体材料を残す。
第8の好ましい実施例を第3図(A)乃至第3図(G)
に示す。第3[1U(A)はシリコン・ウェハ4oによ
って支持されている基板42上に付着した重合体絶縁体
44の層を示す。第3図(B)は重合体絶縁体44の層
上に付着したホトレジスト46の層を示す。第3図(C
)はホトレジスト46をパターン化して開口48を形成
した後に、パターン化ホトレジストと有機金属化合物を
反応させ、もしくはパターン化したホトレジストに有機
金属化合物を侵透させて形成した耐エッチ性のパターン
化したホトレジスト50を示す。ホトレジスト50は重
合体絶縁体44を覆っている。第3図(D)は開口48
のパターンを反応性イオン・エッチを使用して、パター
ン化した反応ホトレジスト50から絶縁体層44に転写
した後の多層パターン・レジスト構造体を示す。第3図
(E)は多層パターン化レジストの表面に付着し、パタ
ーン化したホトレジスト5o及びパターン化した絶縁体
層44を通る開口48を充填した導体材料52を示す。
第3図(F)は化学機械的ポリッシングによってパター
ン化したホトレジスト50の表面から少なくとも余分な
導体材料を除去した後の構造体を示す。第3図(G)は
パターン化して反応したホトレジスト層5oを除去して
絶縁体の表面54上の導電性の金属線及びパターン52
を露呈したところを示す。
第9の実施例は第8の好ましい実施例の段階(a)乃至
(h)のすべて、及び重合体絶縁体の第1の層の上に延
出している導体材料を少なくとも覆う追加の絶縁体を付
着する追加の段階(i)を含む。この追加の絶縁体は無
機絶縁体もしくは重合体絶縁体でよい。
第10の実施例は第8の好ましい実施例の段階(a)乃
至(f)及び化学機械的ポリッシングを使用して重合体
絶縁体の外部主表面から導体材料とホトレジストの両方
を除去する追加の段階(g′)を含む。
ここで導体材料と処理したホトレジスト材料の相対除去
速度は略等しくする。
本発明の実施に使用する代表的な導体材料はAQ、Cu
、AIA−Cu、An−8iの様な金属もしくは合金で
ある。
本発明の方法に使用するホトレジストはハード・ベイク
・ノボラック、ポリイミド・ホトレジスト、ポリグルタ
ルイミド・ホトレジスト、シリコンを含むホトレジスト
及び有機金属試剤と反応出来る官能基を有するホトレジ
ストである。
ハード・ベイク・ノボラックを使用する時は、このノボ
ラック・ホトレジストは代表的にはこれが感応する放射
線源に露らして潜像を形成する。
潜像はこの後標準の水溶性塩基現像剤を使用して現像す
る。現像後、パターン化したノボラック・レジストを少
なくとも約210℃でベークし、ハード・ベイクされた
パターン化したノボラック・レジストにする。本発明の
実施に使用する型の市販のノボラック・ホトレジストは
AZ1350J及びコダック(Kodak) 820を
含む。
本発明の実施に使用出来る型の市販のポリイミド・ホト
レジストはチバ・ガイギイ・プロブイミド(Ciba−
Geigy Probimide) 300及びトレイ
・ホトニース(Toray Photoneace)を
含む。
本発明の実施に使用出来る型のポリグルタルイミド・ホ
トレジストの例はポリ(ジメチルグルタルイミド)、及
びポリ(ジメチルグルタルイミド)を含むポリグルタル
イミドを含む。
シリコンを含むホトレジストの例はポリジアザシロキサ
ン、ポリ (シラン・スルホン)、並びにアクリル酸、
メタクリル酸もしくはこれ等とメタクリル酸のシリコン
含有オキシム・エステルとのエステルの共重合体である
有機金属試剤と反応出来る官能基を有するホトレジスト
材料の例は限定するわけではないが。
(この分野で知られている)ジアゾ・ケトン増感剤を含
む事が出来る予備重合したフェノール・ホルムアルデヒ
ド重合体、○H,C0OH,NH及びSHの様な官能基
を有するホトレジスト、環を開放し○H基を形成する事
が出来るエポキシド官能基を有するホトレジスト重合体
を含む。必要な官能基を有する他のホトレジストの例は
ポリビニル・ピロリドン、ポリビニルアルコール、p−
ヒドロオキシスチレンの重合体、メラミノ重合体、モノ
エチレン基不飽和酸のホモ重合体及び共重合体、アルキ
ル基に約1乃至4個の炭素原子を含むアルカリ・メタク
リレートとモノエチレン基不飽和酸の共重合体を含む。
照射によって官能基を発生する事が出来、その官能基が
有機金属試剤と反応出来るホトレジストの例はO−ニト
ロベンゼン誘導体及び光フリース反応を行い得る重合体
を含む。照射によって、これ等の材料内には反応性水素
を有する酸、アルコールもしくはアミンが発生する。有
機金属試剤と反応出来る官能基を有するホトレジストは
単独でもしくは適合性のある重合体材料との組合せで使
用出来る。
本発明の実施例で使用出来る有機金属化合物は有機金属
材料の適切な金属部分が第HA族の金属。
第1VA族の金属、第rVB族の金属、第VIB族の金
属より成る化合物を含む。第1IIA族の金属の例はア
ルミニウムである。第1VA族の金属の例は錫、ゲルマ
ニウム及びシリコンである。第1VB族の金属の例はチ
タン及びジルコニウムである。第VIB族の金属の例は
タングステン及びモリブデンである。好ましい金属の部
分はチタン、シリコン及び錫であり、最も好ましいのは
シリコンである。最も好ましい有機金属化合物はホトレ
ジストを架橋結合出来、同時に金属原子をとり込ませる
事が出来る多官能性の有機金属化合物である。多官能性
の有機金属化合物を使用する全体的な効果は反応したホ
トレジストの熱的安定性が増大し、反応したホトレジス
トの機械的安定性が改良され、反応したホトレジストの
誘電定数が低下する(より良好な絶縁体になる)点にあ
る。好ましい有機金属化合物の例は上山の特願昭60−
289538号にあげられている。
ホトレジストの上に存在する導体材料を除去するのに使
用する化学機械的ポリッシング技術はこの分野で良く知
られていて既に説明した。本発明の実施にとって考慮し
なければならない点はポリッシングに使用するスラリで
ある。ポリッシングに使用するスラリはホトレジストに
対するよりも導体材料に対して著しく高い除去速度を示
す事が好ましい、これによってホトレジストをエッチ・
ストップ層として使用出来るという利点が生ずる。
さらに、ポリッシング用のスラリはポリッシングしてい
る表面上の導体材料と反応するが、ホトレジストとは反
応しない事が好ましい。導体材料がA Q −Cuの様
なアルミニウムをベースとする合金である場合には、ス
ラリはPHが約3以下である希釈酸(代表的にHNO,
溶液)中のアルミナ粉末より成る。他のポリッシング技
術は、1985年10月28日に出願された米国特許出
願第791860号に示されている。
ヌJ111 第1図(A)に示した様に、ノボラック・ホトレジスト
14の層を標準のスピン被覆技術を使用して、シリコン
のウェハ1o上の表面12をなす2酸化シリコン上に付
着した。次にノボラック被覆を約30分かけて約80℃
でベークし、約1゜5ミクロンの厚さの乾燥被覆にした
。ホトレジスト14を活性線に露光し、潜像を形成し、
この潜像を水溶性塩基を使用して現像し、第1図(B)
に示した様に開口16のパターンを形成した。パターン
化したノボラックをオーブン中で加熱し、約80”Cか
ら始まって、毎分約1℃旦毎分約5℃の割合で、最大温
度250’Cまで温度を上げてベークする事によってハ
ード・ベーク状態にした。
次に第1図(C)に示した様に、電子ビー、ム付着を使
用してAl2−Cu合金層18をパターン化したノボラ
ック・ホトレジスト14に付着し、開口を充填し、厚さ
が約2ミクロンの被覆をホトレジスト14の表面上に残
した。次に第1図(D)に示した様に、AQ□○、/H
NO3を使用する化学機械的ポリッシングによってホト
レジスト14の外部から余分のA Q −Cuを除去し
た。その後、ホトレジスト14を酸素プラズマによって
除去し、第1図(E)に示した構造体を得た。第1図(
E)では5幅が約1.5ミクロン及び高さが約1.5ミ
クロンの薄い線状のAQ−Cu18が2酸化シリコンよ
り成る表面上に残されている。
夫に鮭主 第2図(A)に示した様に、標準のスピン被覆技術を使
用して、ノボラック・ホトレジスト24の層をシリコン
・ウェハ20上の2酸化シリコンの表面22上に付着し
た。次にノボラックの被覆を約80℃で約15分間ベー
クし、厚さ約1.5ミクロンの乾燥薄膜にした。次にノ
ボラック・ホトレジスト24を活性線に露光してパター
ン潜像を形成し、水溶性塩基によって現像し、第2図(
B)に示した開口26をホトレジスト24中に形成した
。ノボラック・ホトレジスト24を、キャリア溶剤中に
多官能性のシリル化剤を含む溶液、ここではキシレン・
キャリア溶剤中に約10重量%のへキサメチルシクロト
リシラザンを含む溶液、を使用して室温で処理し、架橋
結合させ、シリコンをノボラック・ホトレジスト24中
にとり込ませて、これを第2図(C)に示した様に、シ
リル化したホトレジスト28にした。その後、第2図(
D)に示した様に、標準の金属スパッタリング技術を使
用してAQ−Cu合金3oをシリル化ホトレジスト28
に付着し、開口26を充填し、シリル化ホトレジスト2
8の表面上に約2.5ミクロンの被覆を残した。次に第
2図(E)に示し実施例1で説明した様に、AQ203
/HNO3スラリを使用する化学機械的ポリッシングを
使用して、シリル化ホトレジスト28の外部主表面32
がら余分のAQ−Cu30を除去した。
叉皇■ユ 第3図(A)に示した様に、PMDA−ODAポリイミ
ド44の略5ミクロンの厚さの層を標準のスピン被覆技
術を使用してシリコン・ウェハ40上の2酸化シリコン
の表面42に付着した。次にポリイミドを約230℃で
約30分間ベークし、続いて350℃で約30分間ベー
クした。ノボラック・ホトレジスト46の層をポリイミ
ド44の表面に標準のスピン被覆を使用して付着し、約
80℃の温度で約30分間ベークし、第3図(B)で示
した様に約1.1ミクロンの厚さの乾燥薄膜にした。ノ
ボラック・ホトレジスト46を活性線に露らし、水溶性
の塩基を使用して現像し、ノボラック・ホトレジスト4
6中に開口48を形成する事によってパターン化した。
その後ノボラック・ホトレジスト46を実施例2で説明
した様に多官能性シリル化剤であるヘキサメチルシクロ
トリシランで処理し、第3図(C)に示した様にパター
ン化したシリル化ホトレジスト50を形成した。
その後酸素反応性イオン・エツチングを使用して第3図
(D)に示した様に、パターンをシリル化ホトレジスト
50からポリイミド層44に転写した。次に標準金属ス
パッタリング技術を使用して第3図(E)に示した様に
An−Cu合金52をシリル化ホトレジスト50に付着
し、開口48を充填し、シリル化ホトレジスト50の表
面上に約8ミクロンの厚さのAn−Cuの被覆52を残
した。A Q 203 / HN○3スラリを使用する
化学機械的ポリッシングによって第3図(F)に示した
様にシリル化ホトレジスト50の表面から余分のA Q
−Cu 52を除去した。シリル化したノボラック・ホ
トレジスト50は誘電定数が3.5であるから、誘電定
数が3.8であるポリイミドと比較して良好な絶縁体で
あり、平坦な表面が望まれる時にはこの構造体をこの形
のまま残す事が出来る。しかしながら、シリル化ホトレ
ジスト5oはその後CF、−02プラズマを使用して除
去出来、AQ−Cu52がポリイミド層の外部主表面5
4の上に延出している第3図(G)に示した構造体にす
る事が出来る。
F0発明の効果 本発明に従い、リフト・オフ技術よりも信頼性があり、
安価な、微細導体パターンの形成方法が与えられる。
【図面の簡単な説明】
第1図は本発明の第1の実施例の製造工程図である。第
2図は本発明の他の実施例の製造工程図である。第3図
は本発明のさらに他の実施例の製造工程図である。 10・・・・シリコン・ウェハ、12・・・・基板表面
、14・・・・ホトレジスト、16・・・・開孔、18
・・・・導体材料。 出願人  インターナショナル・ビジネス・マシーンズ
・コーポレーション 代理人  弁理士  山  本  仁  朗(外1名) 本発8ハの毛1の丈胞伊A 第1図 一−−オ、トレジス1− − レリフシウLハ (A) (C) 第2図 (D) (E) (A) (C) 第3図 (E) (F) (G)

Claims (1)

  1. 【特許請求の範囲】 (a)基板上に重合体材料を付着し。 (b)上記重合体材料をパターン化して、該重合体材料
    に開口を形成し。 (c)上記重合体材料に導体材料を付着して、少なくと
    も上記開口を充填し、 (d)化学機械的ポリツシング手段を使用して、少なく
    とも上記重合体材料の外部主表面から余分な導体材料を
    除去する、  導体パターンの形成方法。
JP61226378A 1985-10-28 1986-09-26 導体パタ−ンの形成方法 Expired - Fee Related JPH0611040B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US06/791,862 US4702792A (en) 1985-10-28 1985-10-28 Method of forming fine conductive lines, patterns and connectors
US791862 1985-10-28

Publications (2)

Publication Number Publication Date
JPS62102545A true JPS62102545A (ja) 1987-05-13
JPH0611040B2 JPH0611040B2 (ja) 1994-02-09

Family

ID=25155008

Family Applications (1)

Application Number Title Priority Date Filing Date
JP61226378A Expired - Fee Related JPH0611040B2 (ja) 1985-10-28 1986-09-26 導体パタ−ンの形成方法

Country Status (4)

Country Link
US (1) US4702792A (ja)
EP (1) EP0224699B1 (ja)
JP (1) JPH0611040B2 (ja)
DE (1) DE3687409T2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0684826A (ja) * 1992-02-26 1994-03-25 Internatl Business Mach Corp <Ibm> 基板に埋込み金属を形成する方法

Families Citing this family (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4867838A (en) * 1986-10-27 1989-09-19 International Business Machines Corporation Planarization through silylation
US4816112A (en) * 1986-10-27 1989-03-28 International Business Machines Corporation Planarization process through silylation
US4956313A (en) * 1987-08-17 1990-09-11 International Business Machines Corporation Via-filling and planarization technique
US4787957A (en) * 1987-09-25 1988-11-29 Air Products And Chemicals, Inc. Desmear and etchback using NF3 /O2 gas mixtures
US4910155A (en) 1988-10-28 1990-03-20 International Business Machines Corporation Wafer flood polishing
US4954142A (en) * 1989-03-07 1990-09-04 International Business Machines Corporation Method of chemical-mechanical polishing an electronic component substrate and polishing slurry therefor
US5084071A (en) * 1989-03-07 1992-01-28 International Business Machines Corporation Method of chemical-mechanical polishing an electronic component substrate and polishing slurry therefor
US5358902A (en) * 1989-06-26 1994-10-25 U.S. Philips Corporation Method of producing conductive pillars in semiconductor device
GB2233494A (en) * 1989-06-26 1991-01-09 Philips Nv Providing an electrode on a semiconductor device
US5100501A (en) * 1989-06-30 1992-03-31 Texas Instruments Incorporated Process for selectively depositing a metal in vias and contacts by using a sacrificial layer
US5143820A (en) * 1989-10-31 1992-09-01 International Business Machines Corporation Method for fabricating high circuit density, self-aligned metal linens to contact windows
US5948533A (en) * 1990-02-09 1999-09-07 Ormet Corporation Vertically interconnected electronic assemblies and compositions useful therefor
US5130229A (en) * 1990-04-26 1992-07-14 International Business Machines Corporation Multi layer thin film wiring process featuring self-alignment of vias
US5219669A (en) * 1990-04-26 1993-06-15 International Business Machines Corporation Layer thin film wiring process featuring self-alignment of vias
US5081563A (en) * 1990-04-27 1992-01-14 International Business Machines Corporation Multi-layer package incorporating a recessed cavity for a semiconductor chip
US5142828A (en) * 1990-06-25 1992-09-01 Microelectronics And Computer Technology Corporation Correcting a defective metallization layer on an electronic component by polishing
US5091339A (en) * 1990-07-23 1992-02-25 Microelectronics And Computer Technology Corporation Trenching techniques for forming vias and channels in multilayer electrical interconnects
US5102718A (en) * 1990-07-27 1992-04-07 Minnesota Mining And Manufacturing Company Multi-chip substrate
US5514449A (en) * 1990-07-27 1996-05-07 Minnesota Mining And Manufacturing Company Multi-chip substrate
US5689428A (en) 1990-09-28 1997-11-18 Texas Instruments Incorporated Integrated circuits, transistors, data processing systems, printed wiring boards, digital computers, smart power devices, and processes of manufacture
US5137597A (en) * 1991-04-11 1992-08-11 Microelectronics And Computer Technology Corporation Fabrication of metal pillars in an electronic component using polishing
US5118385A (en) * 1991-05-28 1992-06-02 Microelectronics And Computer Technology Corporation Multilayer electrical interconnect fabrication with few process steps
JP2868167B2 (ja) * 1991-08-05 1999-03-10 インターナショナル・ビジネス・マシーンズ・コーポレイション 多重レベル高密度相互接続構造体及び高密度相互接続構造体
US5187121A (en) * 1991-12-18 1993-02-16 International Business Machines Corporation Process for fabrication of a semiconductor structure and contact stud
US5196353A (en) * 1992-01-03 1993-03-23 Micron Technology, Inc. Method for controlling a semiconductor (CMP) process by measuring a surface temperature and developing a thermal image of the wafer
US5470693A (en) * 1992-02-18 1995-11-28 International Business Machines Corporation Method of forming patterned polyimide films
US5300813A (en) * 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5440805A (en) * 1992-03-09 1995-08-15 Rogers Corporation Method of manufacturing a multilayer circuit
US5287619A (en) * 1992-03-09 1994-02-22 Rogers Corporation Method of manufacture multichip module substrate
US5422289A (en) * 1992-04-27 1995-06-06 National Semiconductor Corporation Method of manufacturing a fully planarized MOSFET and resulting structure
US5302551A (en) * 1992-05-11 1994-04-12 National Semiconductor Corporation Method for planarizing the surface of an integrated circuit over a metal interconnect layer
US5294520A (en) * 1992-08-25 1994-03-15 International Business Machines Corporation Zero undercut etch process
US5466636A (en) * 1992-09-17 1995-11-14 International Business Machines Corporation Method of forming borderless contacts using a removable mandrel
US5283208A (en) * 1992-12-04 1994-02-01 International Business Machines Corporation Method of making a submicrometer local structure using an organic mandrel
US5567550A (en) * 1993-03-25 1996-10-22 Texas Instruments Incorporated Method of making a mask for making integrated circuits
US5604158A (en) * 1993-03-31 1997-02-18 Intel Corporation Integrated tungsten/tungsten silicide plug process
US5391258A (en) * 1993-05-26 1995-02-21 Rodel, Inc. Compositions and methods for polishing
US5891352A (en) * 1993-09-16 1999-04-06 Luxtron Corporation Optical techniques of measuring endpoint during the processing of material layers in an optically hostile environment
US5508144A (en) * 1993-11-19 1996-04-16 At&T Corp. Process for fabricating a device
AU4866496A (en) * 1995-02-24 1996-09-18 Intel Corporation Polysilicon polish for patterning improvement
US5630741A (en) * 1995-05-08 1997-05-20 Advanced Vision Technologies, Inc. Fabrication process for a field emission display cell structure
US5644188A (en) * 1995-05-08 1997-07-01 Advanced Vision Technologies, Inc. Field emission display cell structure
US5811929A (en) * 1995-06-02 1998-09-22 Advanced Vision Technologies, Inc. Lateral-emitter field-emission device with simplified anode
US5614444A (en) * 1995-06-06 1997-03-25 Sematech, Inc. Method of using additives with silica-based slurries to enhance selectivity in metal CMP
US6547974B1 (en) * 1995-06-27 2003-04-15 International Business Machines Corporation Method of producing fine-line circuit boards using chemical polishing
US6191484B1 (en) 1995-07-28 2001-02-20 Stmicroelectronics, Inc. Method of forming planarized multilevel metallization in an integrated circuit
KR0179838B1 (ko) * 1995-09-02 1999-04-15 문정환 반도체 소자의 절연막 구조 및 절연막 평탄화 방법
US5726099A (en) * 1995-11-07 1998-03-10 International Business Machines Corporation Method of chemically mechanically polishing an electronic component using a non-selective ammonium persulfate slurry
US5738562A (en) * 1996-01-24 1998-04-14 Micron Technology, Inc. Apparatus and method for planar end-point detection during chemical-mechanical polishing
US5994241A (en) * 1996-07-31 1999-11-30 International Business Machines Corporation Method of forming conductive lines on a semiconductor wafer
US6093335A (en) * 1996-08-28 2000-07-25 International Business Machines Corporation Method of surface finishes for eliminating surface irregularities and defects
JP2820132B2 (ja) * 1996-09-09 1998-11-05 日本電気株式会社 半導体装置およびその製造方法
GB9623185D0 (en) * 1996-11-09 1997-01-08 Epigem Limited Improved micro relief element and preparation thereof
US5985762A (en) * 1997-05-19 1999-11-16 International Business Machines Corporation Method of forming a self-aligned copper diffusion barrier in vias
US5920790A (en) * 1997-08-29 1999-07-06 Motorola, Inc. Method of forming a semiconductor device having dual inlaid structure
US6143640A (en) 1997-09-23 2000-11-07 International Business Machines Corporation Method of fabricating a stacked via in copper/polyimide beol
US6110649A (en) * 1997-11-19 2000-08-29 International Business Machines Corporation Process for manufacture of integrated circuit device
US6274421B1 (en) 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
US6133106A (en) * 1998-02-23 2000-10-17 Sharp Laboratories Of America, Inc. Fabrication of a planar MOSFET with raised source/drain by chemical mechanical polishing and nitride replacement
US6200901B1 (en) 1998-06-10 2001-03-13 Micron Technology, Inc. Polishing polymer surfaces on non-porous CMP pads
US6051496A (en) * 1998-09-17 2000-04-18 Taiwan Semiconductor Manufacturing Company Use of stop layer for chemical mechanical polishing of CU damascene
US6815336B1 (en) 1998-09-25 2004-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Planarization of copper damascene using reverse current electroplating and chemical mechanical polishing
US6475904B2 (en) * 1998-12-03 2002-11-05 Advanced Micro Devices, Inc. Interconnect structure with silicon containing alicyclic polymers and low-k dielectric materials and method of making same with single and dual damascene techniques
US6146986A (en) * 1999-01-08 2000-11-14 Lam Research Corporation Lithographic method for creating damascene metallization layers
US6272275B1 (en) 1999-06-25 2001-08-07 Corning Incorporated Print-molding for process for planar waveguides
US6387810B2 (en) * 1999-06-28 2002-05-14 International Business Machines Corporation Method for homogenizing device parameters through photoresist planarization
US6361402B1 (en) * 1999-10-26 2002-03-26 International Business Machines Corporation Method for planarizing photoresist
WO2001033649A1 (en) 1999-11-02 2001-05-10 Koninklijke Philips Electronics N.V. Method of producing vertical interconnects between thin film microelectronic devices and products comprising such vertical interconnects
US6348395B1 (en) 2000-06-07 2002-02-19 International Business Machines Corporation Diamond as a polish-stop layer for chemical-mechanical planarization in a damascene process flow
JP4672238B2 (ja) * 2001-05-08 2011-04-20 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 電子装置を製造する方法
JP2002353195A (ja) * 2001-05-23 2002-12-06 Sony Corp 半導体装置の製造方法
US6489237B1 (en) 2001-12-04 2002-12-03 Taiwan Semiconductor Manufacturing Company Method of patterning lines in semiconductor devices
US6770419B2 (en) * 2002-09-11 2004-08-03 International Business Machines Corporation Low silicon-outgassing resist for bilayer lithography
US9307648B2 (en) * 2004-01-21 2016-04-05 Microcontinuum, Inc. Roll-to-roll patterning of transparent and metallic layers
KR100661220B1 (ko) * 2004-12-29 2006-12-22 동부일렉트로닉스 주식회사 듀얼 절연막을 이용한 금속 배선 형성 방법
US7169313B2 (en) * 2005-05-13 2007-01-30 Endicott Interconnect Technologies, Inc. Plating method for circuitized substrates
WO2007100849A2 (en) 2006-02-27 2007-09-07 Microcontinuum, Inc. Formation of pattern replicating tools
US7910156B2 (en) * 2007-03-30 2011-03-22 Endicott Interconnect Technologies, Inc. Method of making circuitized substrate with selected conductors having solder thereon
KR100875167B1 (ko) * 2007-07-25 2008-12-22 주식회사 동부하이텍 반도체 소자의 금속배선과 그의 형성방법
FR2938701A1 (fr) * 2008-11-20 2010-05-21 Commissariat Energie Atomique Procede d'amincissement d'un bloc reporte sur un substrat
US8703578B2 (en) * 2012-05-29 2014-04-22 Globalfoundries Singapore Pte. Ltd. Middle in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US9589797B2 (en) 2013-05-17 2017-03-07 Microcontinuum, Inc. Tools and methods for producing nanoantenna electronic devices
US9048410B2 (en) * 2013-05-31 2015-06-02 Micron Technology, Inc. Memory devices comprising magnetic tracks individually comprising a plurality of magnetic domains having domain walls and methods of forming a memory device comprising magnetic tracks individually comprising a plurality of magnetic domains having domain walls
US9291901B2 (en) * 2013-09-16 2016-03-22 Sumitomo Bakelite Co., Ltd. Amine treated maleic anhydride polymers with pendent silyl group, compositions and applications thereof
JP6692754B2 (ja) * 2014-01-13 2020-05-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的原子層堆積法による、自己整合ダブルパターニング

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4968272A (ja) * 1972-11-08 1974-07-02
JPS5555546A (en) * 1978-10-19 1980-04-23 Toshiba Corp Method of wiring semiconductor device

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4305779A (en) * 1980-05-28 1981-12-15 The United States Of America As Represented By The United States Department Of Energy Method of polishing nickel-base alloys and stainless steels
US4396704A (en) * 1981-04-22 1983-08-02 Bell Telephone Laboratories, Incorporated Solid state devices produced by organometallic plasma developed resists
US4450652A (en) * 1981-09-04 1984-05-29 Monsanto Company Temperature control for wafer polishing
US4599243A (en) * 1982-12-23 1986-07-08 International Business Machines Corporation Use of plasma polymerized organosilicon films in fabrication of lift-off masks
US4435247A (en) * 1983-03-10 1984-03-06 International Business Machines Corporation Method for polishing titanium carbide
US4507331A (en) * 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4552833A (en) * 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4599136A (en) * 1984-10-03 1986-07-08 International Business Machines Corporation Method for preparation of semiconductor structures and devices which utilize polymeric dielectric materials
US4551418A (en) * 1985-02-19 1985-11-05 International Business Machines Corporation Process for preparing negative relief images with cationic photopolymerization
US4782008A (en) * 1985-03-19 1988-11-01 International Business Machines Corporation Plasma-resistant polymeric material, preparation thereof, and use thereof
JPS61268028A (ja) * 1985-04-08 1986-11-27 インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション ホトレジスト中にマスク像を現像する方法
US4944836A (en) * 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4968272A (ja) * 1972-11-08 1974-07-02
JPS5555546A (en) * 1978-10-19 1980-04-23 Toshiba Corp Method of wiring semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0684826A (ja) * 1992-02-26 1994-03-25 Internatl Business Mach Corp <Ibm> 基板に埋込み金属を形成する方法

Also Published As

Publication number Publication date
JPH0611040B2 (ja) 1994-02-09
EP0224699A2 (en) 1987-06-10
EP0224699A3 (en) 1988-08-31
US4702792A (en) 1987-10-27
DE3687409D1 (de) 1993-02-11
EP0224699B1 (en) 1992-12-30
DE3687409T2 (de) 1993-07-15

Similar Documents

Publication Publication Date Title
JPS62102545A (ja) 導体パタ−ンの形成方法
JP2553078B2 (ja) マスク形成方法
US7312164B2 (en) Selective passivation of exposed silicon
US5767016A (en) Method of forming a wiring layer on a semiconductor by polishing with treated slurry
JPS5944824A (ja) 自己整合型コンタクトを形成するリフトオフ方法
JPS62232127A (ja) 半導体デバイスの製造方法
JPH05500737A (ja) フリップチップ実装のためのマイクロバンプの製造方法
JP2003234412A (ja) 誘導マイクロコンポーネントの組み込まれた電子コンポーネントの製造方法
JPH0626202B2 (ja) パターン付け方法
US6861329B2 (en) Method of manufacturing capacitor in semiconductor devices
JPS61268028A (ja) ホトレジスト中にマスク像を現像する方法
JP3226250B2 (ja) 転写マスク
EP0265619A2 (en) Planarization through silylation
JP2946719B2 (ja) 半導体装置の製造方法
JP3143035B2 (ja) 転写マスクの製造方法
JP2001297970A (ja) 薄膜パターン及びその形成方法
JP2937537B2 (ja) パターン形成方法
KR100447974B1 (ko) 감광막 패턴 형성방법
JPH0194623A (ja) 多層配線半導体装置の製造方法
CN1205114A (zh) 用于单掩膜c4焊料凸点制造的方法
JP2001185481A (ja) 転写マスク
JPS6066432A (ja) 微細パタ−ン形成法
JPS6329951A (ja) 微細配線パタ−ン形成法
JPH08254815A (ja) 転写マスクの製造方法
JPS6236828A (ja) 選択エツチング方法

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees