JPH0684826A - 基板に埋込み金属を形成する方法 - Google Patents

基板に埋込み金属を形成する方法

Info

Publication number
JPH0684826A
JPH0684826A JP5016123A JP1612393A JPH0684826A JP H0684826 A JPH0684826 A JP H0684826A JP 5016123 A JP5016123 A JP 5016123A JP 1612393 A JP1612393 A JP 1612393A JP H0684826 A JPH0684826 A JP H0684826A
Authority
JP
Japan
Prior art keywords
metal
substrate
alloy
depositing
low resistivity
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP5016123A
Other languages
English (en)
Other versions
JP2989408B2 (ja
Inventor
William J Cote
ウィリアム・ジョーゼフ・コート
Pei-Ing P Lee
ペイ=イン・ポール・リー
Thomas E Sandwick
トーマス・エドウィン・サンドウィック
Bernd M Vollmer
ベルンド・ミハエル・フォルマー
Victor Vynorius
ヴィクター・ヴィノリウス
Stuart H Wolff
スチュアート・ハワード・ウルフ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH0684826A publication Critical patent/JPH0684826A/ja
Application granted granted Critical
Publication of JP2989408B2 publication Critical patent/JP2989408B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/095Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00 with a principal constituent of the material being a combination of two or more materials provided in the groups H01L2924/013 - H01L2924/0715
    • H01L2924/097Glass-ceramics, e.g. devitrified glass
    • H01L2924/09701Low temperature co-fired ceramic [LTCC]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Non-Insulated Conductors (AREA)
  • Glass Compositions (AREA)

Abstract

(57)【要約】 【目的】 本発明の目的は、硬質保護耐熱金属でキャッ
プした軟質低抵抗率金属を有する埋込み導線およびバイ
アを製造することである。 【構成】 導電性バイアおよび線を3段階プロセスで形
成する。まず、誘電体10のトレンチまたはバイア中
に、軟質低抵抗率金属(12)を、誘電体(10)の上
面より下の点まで付着する。次に、CVDタングステン
などの硬質金属(16)で低抵抗率金属(12)を被覆
する。最後に、化学機械式研磨によって構造を平面化す
る。硬質金属(16)は、低抵抗率金属が粗い化学機械
式研磨スラリに当たると通常発生するひっかき傷や腐食
から低抵抗率金属を保護する働きをする。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、全般的には、半導体な
どの基板上の回路および関連するパッケージを相互接続
する導線およびバイアの製造に関し、より詳細には、硬
質保護耐熱金属でキャップした軟質低抵抗率金属を有す
る導線およびバイアを製造する方法に関する。本発明は
特に、サブミクロン回路の製造に適用される。
【0002】
【従来の技術】アルミニウムや銅などの低抵抗率の金属
とそれらの二元合金および三元合金は、半導体製造にお
ける細線相互接続として広く使用されている。細線相互
接続金属の典型例には、AlxCuy(xとyの合計が1
に等しく、xとyが共に0以上で1以下)や、Al−P
d−Cu、AL−Pd−Nb、Al−Cu−Siなどの
三元合金、ならびにその他の類似の低抵抗率金属をベー
スとする合金がある。今日、超大規模集積(VLSI)
回路製造においては、線幅寸法を小さくすることに力が
注がれているため、不十分な分離、電気移動、平面化な
ど、信頼性の面で問題が生じている。
【0003】IBM Technical Disclosure Bulletin、
Vol.33, NO.5, pp.217〜218(1990年10月)所載のアー
ン(Ahn)他の論文には、水素の存在中でWF6とSiH
4の混合物を使用した選択的付着によって製造された、
タングステンで包まれた銅導線およびバイア・ホールを
開示している。上記論文のようなカプセル封じされた相
互接続は、電気移動に対する抵抗力がかなり高く、選択
的なタングステン被膜の粒径が小さいため、反射率が下
がり、それによって、フォトリソグラフィ・ツールのフ
ォトレジスト・イメージを集束し解像する能力が改善さ
れる。しかし、上記論文に記載された、低温を使用して
形成されたタングステン層は、シリコンを豊富に含有し
ており(たとえば、3〜4%)、ケイ化銅の形成によっ
て銅の抵抗率が低下するため、銅に対する良好な拡散障
壁とならない。したがって、低温で選択的手段によって
拡散障壁を付着するのが難しい。さらに、アーン他の技
術は、通常は気体を放出する水分とWF6の反応によっ
て形成される、線の底部でのドーナツ形状の形成に依拠
している。ドーナツ形状の形成は信頼性に欠けると考え
られている。
【0004】ドルトン(Dalton)他は、VMIC Conferenc
e, pp.289〜292(1990年6月12〜13日)において、WF6
のSiH4還元またはH2還元を伴うホット・ウォールC
VD反応によってアルミニウムまたは合金の導体上に選
択的タングステン層を形成すると、アルミニウムとタン
グステンの界面でフッ素が取り込まれることを指摘して
いる。このフッ素の取込みは、式1で示すWF6とアル
ミニウムの反応の副産物である。 式1 WF6 + 2Al → 2AlF3 + W フッ化アルミニウムの薄い層は、金属1のバイアと金属
2のバイアの間の直列接触抵抗を増大させる。ドルトン
は、CVDを使用したタングステンのカプセル封じの前
に、アルミニウムの上面にTiW膜をスパッタすると、
フッ素吸着の問題が解決されると報告している。
【0005】ドルトンは、まず平面状表面上にアルミニ
ウムを付着し、スパッタしたTiW層で保護被覆してか
ら(従来の加工との唯一の違い)、フォトレジストによ
る結像および現像、続いて反応性イオン・エッチング
(RIE)によってアルミニウムをパターン化する、相
互接続形成のための従来の方式を開示している。次に、
このようにして形成された構造をSiO2やポリイミド
などの不動態化誘電体で保護被覆する。不動態化誘電体
自体をその後、パターン化し、RIEを施し、メタライ
ズして、多層構造を形成する。図1はドルトンの論文か
ら転載したものであり、従来の加工方式で作成された多
層デバイスが、誘電層中の金属導線の位置にシームがあ
り、上面が非常に不規則であることを示している。
【0006】RIEによって誘電体を平面化するのは難
しい。平面性は一部には、パターン密度に依存し、表面
が平面でないと、以後のメタライゼーション中にパドリ
ングの問題が発生する。ポリイミド上でRIE技術を使
用する場合、アルミニウムまたは銅をベースとする導線
をポリイミド表面までエッチングする際に、導線の上面
からフォトレジストを除去するのにエッチ・ストップが
必要である。これは、フォトレジスト除去工程でポリイ
ミドも除去されてしまうからである。銅含有率の高いア
ルミニウム合金または銅合金のRIEはきわめて困難で
ある。金属RIEを含む従来の方法の重大な欠点は、微
細形状をもつ場合に粒子の欠陥により多数の金属短絡が
発生しがちなことである。
【0007】米国特許第4824802号は、多段VL
SIメタライゼーション構造における段間誘電体バイア
または接点ホールを充填する方法を開示している。具体
的には、タングステンやモリブデンなどの中間金属を、
CVDによって絶縁体中の開口部に選択的に付着し、あ
るいは表面全体および絶縁体の開口部に非選択的に付着
し、次に、中間金属の上面に、アゾキノンノヴォラック
型レジスト、ポリメタクリレート、ポリイミド、または
他の熱可塑性材料などの平面化レジストを付着する。次
に、中間金属がレジストと同一平面になるレベルまでエ
ッチングすると、平面化構造が得られる。米国特許第4
824802号の方法では、エッチングに伴う金属腐食
その他の問題を回避できない。また、Al−Cuや他の
軟質合金は、タングステンやモリブデンなどの硬質金属
と異なる特性を持つため、これらの軟質合金の平面化に
はこの方法は役に立たない。さらに、米国特許第482
4802号の方法を使用してバイアおよび導線を完全に
充填することは難しい。
【0008】米国特許第4944836号は、基板上に
共平面の金属/絶縁体膜を形成するのに使用できる化学
機械式研磨技術を開示している。具体的には、米国特許
第4944836号では、下にある絶縁層をパターン化
し、Al−Cu膜を付着してから、希硝酸に溶かしたア
ルミナ・スラリで表面を機械的にこすってAl−Cuを
除去する、化学機械式研磨技術を使用することを考えて
いる。この研磨用コンパウンドは、Al−Cuの除去率
が下の絶縁体よりもかなり高くなる傾向がある。得られ
る構造は、絶縁層で平面化されたAl−Cu線を備えて
おり、多層構造を製造する際に後続の層が容易に付加で
きる。
【0009】米国特許第4956313号には、基板上
の第1の不動態化層の上面にAl−Cu合金線をパター
ン化し、Al−Cu合金線の輪郭と共形の、好ましくは
リンケイ酸ガラス(PSG)やホウリンケイ酸ガラス
(BPSG)などのドープ・ガラスである第2の不動態
層で線を保護被覆した後、第2の不動態層中にバイアを
形成して線を剥き出しにし、CVDによって第2の不動
態化層の表面上およびバイア内にタングステンを付着す
る、バイア充填および平面化技術が開示されている。こ
の特許では、CVDタングステンが共形となる特徴をも
ち、空隙を形成せずにバイアを充填できることが報告さ
れている。この構造は次に、研磨スラリによる研磨で平
面化される。
【0010】米国特許第4944836号も第4956
313号も、Al−Cu合金などの低抵抗率の軟質金属
には研磨が実用的でないことを認識していない。これ
は、スラリのために、このような金属の表面にひっかき
傷がついたり、表面が汚れたり、腐食する傾向があるか
らである。さらに、第4956313号による平面化構
造では、複数の加工段階が必要であり、コストが上昇し
生産高が低下する。
【0011】ロスナーゲル(Rossnagel)他は、J. Vac.
Sci. Technol. 2:261(1991年3月/4月)に所載
の論文で、リフトオフ・パターン化技術およびホール充
填に適合する被膜を付着するためのコリメート・マグネ
トロン・スパッタ付着技術を開示している。この技術
は、参照によって本明細書に組み込まれる米国特許第4
824544号でも提示されている。
【0012】シオザキ他は、Abstracts of the 19th Co
nference on Solid State Devicesand Materialsに所載
の論文で、MoSixなどの高抵抗率の硬質金属の上面
のホールを充填するために選択的タングステン付着を使
用することを開示している。これは、軟質金属のカプセ
ル封じとは無関係である。
【0013】
【発明が解決しようとする課題】本発明の目的は、半導
体基板上に金属埋込み構造を形成するための改良された
方法を提供することである。
【0014】本発明の目的は、導線またはバイアが低抵
抗率の金属と耐摩耗性耐熱金属を共に含み、耐熱金属が
低抵抗率金属の保護キャップとして働く、埋込み導線お
よびバイアを基板上に製造する方法を提供することであ
る。
【0015】本発明の別の目的は、タングステンでキャ
ップした金属線およびバイアを形成する方法を提供する
ことである。
【0016】本発明の別の目的は、基板中の高縦横比の
トレンチまたはホールを部分的に充填するための改良さ
れた方法を提供することである。
【0017】
【課題を解決するための手段】本発明によれば、低抵抗
率金属の下層および耐摩耗性耐熱金属の上層から成る導
線およびバイアが、平坦な埋込み構造として製造され、
その際、厄介な誘電体平面化段階は必要でない。化学機
械式研磨による平面化に伴うひっかき傷、過剰エッチン
グ、および腐食の問題は、研磨の前に、部分的に充填さ
れたトレンチまたはバイアの上面に相対的に硬質の金属
キャップを設けることによって解決される。基板内の高
縦横比のホール(穴)またはトレンチ(溝)中にアルミ
ニウムなどの軟質金属をコリメート・スパッタリングす
る際に、付着温度を制御すると、比較的平坦な上面を持
つ、部分的に充填されたバイアまたは線が得られる。軟
質金属の付着後、部分的に充填されたバイアまたはトレ
ンチを、CVD耐熱金属または他の比較的硬質の金属で
保護被覆できる。CVD金属は、他の化学機械式研磨で
は発生する恐れがあるひっかき傷や腐食から下部の軟質
金属を保護する。
【0018】
【実施例】図面、より詳細には図2および3を参照する
と、基板上に埋込み導線を形成する従来の方法が示され
ている。具体的には、基板上に位置する誘電体10の開
口部が、メタライゼーション層12で被覆されている。
このメタライゼーション層12は、開口部を充填すると
共に誘電体10の上面を覆う。メタライゼーション層1
2は、CVD、スパッタリング、コリメート・スパッタ
リング、浸漬、蒸着、または他の周知の手段で付着でき
る。以下で詳細に説明するように、高縦横比のサブミク
ロン相互接続線を形成するとき、誘電体10の開口部を
空隙なしで充填するには、コリメート・スパッタリング
・プロセスが好ましい。
【0019】超大規模集積(VLSI)回路の応用例で
は、誘電体10は、数千の開口部を有し、これらの開口
部は、バイアでも横方向メタライゼーション線でもよ
く、形成されるメタライゼーション・パターンが、基板
上に形成された電界効果トランジスタ(FET)、バイ
ポーラ・トランジスタ、ショットキー・ダイオードなど
の構造を相互接続する働きをする。誘電体10は、二酸
化シリコンや窒化シリコンなどの無機層、ポリイミドな
どの有機層、または無機層と有機層の組合せとすること
ができる。VLSI回路、ならびに数百または数千の線
もしくはバイアを使用して数百もしくは数千の構造を相
互接続する他の応用例では、メタライゼーション層12
は、アルミニウム、銅、アルミニウム銅合金、Al−P
d−CuやAl−Pd−Nb、Al−Cu−Siなどの
三元合金、ならびに他の同様な低抵抗率金属をベースと
する合金を含めて、軟質低抵抗率タイプのものでなけれ
ばならない。高抵抗率金属は、熱を発生させ、相互接続
機能の適切な実施を妨げるので、このような応用例では
使用できない。
【0020】図3は、メタライゼーション層12の付着
後、化学機械式研磨手順を使用して構造を平面化できる
ことを示している。上記で指摘したように、参照により
本明細書に組み込んだ米国特許第4944836号は、
希硝酸に溶かしたアルミニウム・スラリで化学機械式研
磨するか、あるいは他の酸性スラリ(たとえば、硝酸第
二鉄)を使用して、誘電体の表面からメタライゼーショ
ンを除去できることを開示している。化学機械式研磨に
は、金属の除去が一様であり除去が制御できる点でRI
Eに比べていくつかの利点がある。しかし、本発明者等
は、図2のメタライゼーション層12で示すような、ア
ルミニウム、アルミニウム銅合金、または銅をベースと
するメタライゼーション線もしくはバイア上で化学機械
式研磨スラリを使用する際に、特定の欠点があることを
発明した。すなわち、図3にもっともよく示されるよう
に、スラリが誘電体よりも軟質金属の除去に対する選択
性が高く、メタライゼーション層12の上面14からの
除去量が多すぎる。アルミニウム銅合金などの軟質低抵
抗率金属の化学機械式研磨に伴うこれよりはるかに重大
な問題は、上面14がスラリによって容易にひっかかれ
腐食されるため、短絡やその他の問題が生じる可能性が
あることである。
【0021】本発明は特に、構造の平面化に化学機械式
研磨を使用するのが望ましいとき、導線またはバイアの
ひっかき傷、腐食、過剰エッチングという問題を解決す
ることを目的としている。シリコン、ガリウムヒ素、お
よび集積回路を製作するのに適したその他の材料、なら
びにセラミック、ガラス、および半導体のパッケージン
グおよび薄膜相互接続部の形成に一般に使用される複合
材料上に形成された、線およびバイアを備えたあらゆる
種類の基板を平面化するのに、この方法が使用できるこ
とに留意されたい。
【0022】図4ないし6は、本発明で企図する改良点
を示している。具体的には、低抵抗率メタライゼーショ
ン層12が誘電体10上に付着され、誘電体10中の開
口部を部分的に充填し、誘電体10を被膜している。上
述のように、メタライゼーション層12の付着は、CV
D、スパッタリング、コリメート・スパッタリング、浸
漬、および蒸着を含む多数の周知の方法で行うことがで
きる。本発明の非常に重要な態様は、付着時間の監視な
どによる制御された方式でメタライゼーション層12が
付着されて、開口部中のメタライゼーションの上面14
が誘電体10の上面より下の位置にくるようになること
である。開口部容積の大部分(たとえば、90%以上)
がメタライゼーション層12で充填されることが好まし
い。なぜなら、メタライゼーション層12は、アルミニ
ウム、銅、アルミニウム銅合金などの低抵抗率金属、な
らびにアルミニウムや銅などの元素を含む三元合金およ
び多成分系であり、基板上の多数の構造を相互接続する
という機能を果たすからである。多数の応用例では、誘
電体10中の開口部は、誘電体の上面から約数百Åない
し数百nm下のレベルまでメタライゼーションで充填さ
れる。次に、図5にもっともよく示されるように、メタ
ライゼーション層12上に耐熱金属(タングステン、チ
タン、タンタル、およびTiNなどの合金または化合
物)などの硬質金属層16を付着する。硬質金属層16
の付着は、CVD、めっき、または他の技術を使用して
行うことができる。図6にもっともよく示されるよう
に、硬質金属層16を設けると、硝酸第二鉄に混ぜたア
ルミナなどのスラリによる化学機械式研磨技術を使用し
た構造の平面化が可能になる。硬質金属層16は、化学
機械式研磨中にひっかき傷や腐食から下部の軟質低抵抗
率メタライゼーション層12を保護するキャップとして
機能する。硬質金属層16の別の利点は、電気移動を減
少させることである。耐熱金属は硬質で耐摩耗性がある
が、抵抗率が高い。したがって、上記で指摘したとお
り、低抵抗率メタライゼーション層12でトレンチまた
はバイアの大半を充填し、最小量の硬質金属層16でト
レンチまたはバイアをキャップすることが好ましい。
【0023】高縦横比のサブミクロン・ホールを部分的
に充填するための特に好ましい方法は、コリメート・ス
パッタリングによるものである。縦横比は一般に、トレ
ンチの場合は高さと幅の比率、バイアの場合は高さと直
径の比率を指す。縦横比が2を超えるトレンチおよびバ
イアは、一般に縦横比が高いとみなされる。コリメータ
を使用してスパッタリングされた材料(銅)を付着する
方法の例は、S. M.ロスナーゲル(Rossnagel)らの発
表"Lift-Off Magnetron Sputter Deposition"(America
n Vacuum Society 36th National Symposium、米国マサ
チューセッツ州ボストン、1989年10月23日〜27日、Fina
l Program、p.286(copper deposited on lift-off str
uctures to eliminate sidewall depositions)と、参
照により本明細書に組み込まれた米国特許第48245
44号に記載されている。要約すると、コリメータは、
高温度でも変形せず、付着すべき材料の原子が通過す
る、蜂の巣状に配設された複数の孔を持つ鋼、または他
の材料の薄い板から構成される。コリメータの温度を制
御すると、コリメート・スパッタリングによって金属が
付着される方式に大きな影響を及ぼせることが分かって
いる。
【0024】図7ないし9は、基板の断面図であり、さ
まざまなスパッタ付着温度で、縦横比が0.7:1(コ
リメータの厚さ:ホールの直径)のコリメータを使用し
た、アルミニウムの付着実験の結果を示している。図7
〜9で表される結果は、走査電子顕微鏡(SEM)で確
認された。図7を参照すると、100℃の付着温度を使
用したとき、開口部の側壁にアルミニウムが見えるよう
になり、わずかなアルミニウムのオーバーハングによる
シャドウ効果のために縁部のアルミニウムが薄くなり、
開口部の底部のメタライゼーションに反りまたはこぶが
生じた。付着温度を上げるにつれて、アルミニウムの充
填が向上した。図8を参照すると、250℃の付着温度
を使用したとき、開口部の底部のアルミニウムと、上面
上のアルミニウムに切れ目が生じた。それにもかかわら
ず、開口部の底部ではアルミニウムにある程度の反りが
見られた。図9を参照すると、400℃の付着温度を使
用したとき、側壁にアルミニウムは見えず、開口部の底
部におけるアルミニウムの反りは最小限になった。
【0025】図7ないし9に示す結果は、温度が高くな
るほど、アルミニウム原子の表面移動性が高くなること
で説明できる。つまり、アルミニウム原子は、エネルギ
ーを失うまで、表面上を数百Å拡散できる。拡散の方向
は、アルミニウムの表面エネルギーを最小限にする効果
によって決定される。その結果、側壁におけるアルミニ
ウムはすべて、上部のアルミニウム膜またはトラフ内の
アルミニウムに向かって拡散する(250℃または40
0℃)。スパッタリングされたアルミニウムの表面移動
性はまた、アルミニウムがその上にスパッタリングされ
る基板の影響をも受ける。図7ないし9に示した結果で
は、基板としてチタンを使用した。しかし、窒化チタン
膜を使用すると、移動性の増大が認められた。図7ない
し9で示された付着温度依存性は、スパッタリングの有
無を問わず、他の金属または合金にも当てはまると考え
られる。アルミニウムの表面移動性を高める材料で形成
した基板を用いる代わりに、トレンチまたはホールの内
面をこのような材料でライニングすることもできる。
【0026】図10、図11、図12は、図4、図8、
図9に示した、基板上の誘電層10中の開口部内に低抵
抗率メタライゼーション層12が配置された構造を作成
する代替手順を示している。
【0027】図10は、誘電体10がまず、チタン、タ
ングステン、タンタル、およびTiWもしくはTiNな
どの各種合金のような耐熱金属18の薄いコーティング
でライニングできることを示している。耐熱金属18は
銅の拡散障壁として働くので、メタライゼーション層1
2が銅をベースとする材料である場合、耐熱金属18に
よる誘電体10のライニングが特に重要となり得る。耐
熱金属のライナ18は、コリメート・スパッタリングを
使用して形成することが好ましい。なぜなら、この手順
では、高縦横比の開口部中に均一な被覆が形成できるか
らである。耐熱金属のライナ18は、付着後、低抵抗率
メタライゼーション層12で保護被覆する。上述のよう
に、低抵抗率メタライゼーション層12は、アルミニウ
ム、銅、アルミニウム銅合金とすることができ、またA
l−Pd−Cuなどの三元合金やAl−Pd−Nb−A
uなどの多成分系とすることもできる。低抵抗率メタラ
イゼーション層12は、コリメート・スパッタリングも
しくは非コリメート・スパッタリング、または他の周知
の技術で付着できる。図11に示すように、低抵抗率メ
タライゼーション層12の付着後、スパッタ・エッチン
グ手順、RIEなどを施して、耐熱金属のライナ18に
付着したメタライゼーション層12をすべて除去する。
除去した部分は、台形のギャップ20で示されている。
耐熱金属18に付着した低抵抗率メタライゼーション層
12を除去することは、そうすると、耐熱金属によるキ
ャッピングの前に、誘電体10の開口部内のメタライゼ
ーション層12が誘電体10の上面より上に突き出さ
ず、耐熱金属キャップだけが研磨による平面化中、化学
機械式研磨スラリに当たるようになるので、重要であ
る。適切な量のメタライゼーションを除去した後、構造
を硬質金属(たとえば、CVDタングステン)で保護被
覆し、次に、上述のように化学機械式研磨を使用して平
面化することにより、台形のギャップ20中に付着され
た硬質金属が、下部の低抵抗率メタライゼーション層1
2の保護キャップとして働くようになる。
【0028】図12は、まず誘電体10の開口部中に耐
熱金属のシード層22を設け、次に、開口部中に低抵抗
率メタライゼーション層12(たとえば、アルミニウ
ム、銅、アルミニウム銅合金、ならびに他の低抵抗率金
属もしくは合金)を選択的に付着するプロセスを示して
いる。メタライゼーション層12を選択的に付着する1
つの方法は、耐熱金属シード層22から成長させるもの
である。成長を適切なレベルにするには、時間制御およ
びその他の手段が使用できる。他の選択的付着方法も使
用できる。耐熱金属のシード層22は、チタン、Ti
W、TiN、およびその他の耐熱合金とすることがで
き、スパッタリング、蒸着、およびその他の技術で形成
できる。耐熱金属シード層22はまた、最終的に形成さ
れる構造における拡散障壁としても機能する。図13お
よび図14はそれぞれ、まず、低抵抗率メタライゼーシ
ョン層12の上にタングステンまたはその他の硬質金属
もしくは耐熱金属などの共形キャッピング層24を設
け、次に、化学機械式研磨によって構造を平面化するこ
とを示している。共形キャッピング層24は耐摩耗性で
あり、この層のおかげで、下部の軟質低抵抗率メタライ
ゼーション層12をひっかいたり腐食することなく、化
学機械式研磨が円滑に進行できる。さらに、共形キャッ
ピング層24は、電気移動に伴う問題を軽減する。
【0029】64メガバイトのDRAMチップおよびそ
の他のVLSIデバイスにキャッピングおよび研磨技術
を使用することが予想される。図15ないし19は、多
段デバイスの製造にこのキャッピングおよび研磨技術が
使用できることを示している。図15は、基板32上に
形成された誘電層30を示している。上述のように、誘
電層30は、基板32上で成長させた、または基板32
上に付着させたSiO2、窒化シリコン、またはリンケ
イ酸ガラスやホウリンケイ酸ガラスなどのガラスのよう
な無機材料、ポリイミドなどの有機材料、あるいは無機
材料と有機材料の組合せとすることができる。基板32
は、シリコン・チップまたはウェーハ、ならびにセラミ
ックまたはチップ実装材料とすることができる。図16
は、誘電層30が2段以上にパターン化できることを示
している。パターン化は、コントラスト強化リソグラフ
ィ(CEL)またはその他の適切な技術で行うことがで
きる。図16は、メタライゼーション線34およびバイ
ア36用の開口部が形成できることを示している。図1
7では、誘電層30の複数の段で低抵抗率メタライゼー
ション38が付着されている。図10および図12に関
して説明したとおり、低抵抗率メタライゼーション38
を付着する前に、誘電体30の開口部中に耐熱金属のラ
イナまたはシード層を設けておくことができる。図18
は、次に耐熱金属(好ましくはタングステン、チタン、
タンタル、または適切な合金)などの硬質金属40の層
で構造全体を保護被覆するステップを示している。硬質
金属40は、CVDまたはその他の技術で付着できる。
また、CVDによって耐熱金属40を付着する前に、T
iNなどの接着促進材をスパッタリングしておくことが
できる。図19は、次に化学機械式研磨によって構造全
体を平面化するステップを示している。硬質金属40
は、研磨手順中、下部の低抵抗率メタライゼーション3
8を保護する。
【0030】
【発明の効果】本発明によれば、低抵抗率金属の下層お
よび耐摩耗性耐熱金属の上層から成る導線およびバイア
が、平坦な埋込み構造として製造され、その際、厄介な
誘電体平面化段階は必要でない。化学機械式研磨による
平面化に伴うひっかき傷、過剰エッチング、および腐食
の問題は、研磨の前に、部分的に充填されたトレンチま
たはバイアの上面に相対的に硬質の金属キャップを設け
ることによって解決される。基板内の高縦横比のホール
またはトレンチ中にアルミニウムなどの軟質金属をコリ
メート・スパッタリングする際に、付着温度を制御する
と、比較的平坦な上面を持つ、部分的に充填されたバイ
アまたは線が得られる。軟質金属の付着後、部分的に充
填されたバイアまたはトレンチを、CVD耐熱金属また
は他の比較的硬質の金属で保護被覆できる。CVD金属
は、他の化学機械式研磨では発生する恐れがあるひっか
き傷や腐食から下部の軟質金属を保護する。
【図面の簡単な説明】
【図1】層間誘電体内のシームおよび不均一な表面を示
す、従来技術の半導体基板の断面図である。
【図2】化学機械式研磨を使用した、食刻構造の作成に
使用される従来のステップを示す、基板の断面図であ
る。
【図3】図2に続くステップを示す、基板の断面図であ
る。
【図4】本発明による研磨キャップの形成および使用を
示す、基板の断面図である。
【図5】図4に続くステップを示す、基板の断面図であ
る。
【図6】図5に続くステップを示す、基板の断面図であ
る。
【図7】付着に対する温度の影響を示す、基板の断面図
である。
【図8】付着に対する温度の影響を示す、基板の断面図
である。
【図9】付着に対する温度の影響を示す、基板の断面図
である。
【図10】トレンチまたはバイアを低抵抗率メタライゼ
ーションで誘電層の表面の真下の点まで充填するための
2段階プロセスの最初のステップを示す、基板の断面図
である。
【図11】トレンチまたはバイアを低抵抗率メタライゼ
ーションで誘電層の表面の真下の点まで充填するための
2段階プロセスの図10に続くステップを示す、基板の
断面図である。
【図12】トレンチまたはバイアを低抵抗率メタライゼ
ーションで誘電層の表面の真下の点まで充填するための
別のプロセスを示す、基板の断面図である。
【図13】部分的に充填されたトレンチまたはバイアを
硬質不活性金属層で被覆し、それに続いて化学機械式研
磨を使って平面化するプロセスの最初のステップを示
す、基板の断面図である。
【図14】部分的に充填されたトレンチまたはバイアを
硬質不活性金属層で被覆し、それに続いて化学機械式研
磨を使って平面化するプロセスの図13に続くステップ
を示す、基板の断面図である。
【図15】多段構造に本発明のキャッピング技術を使用
するためのプロセスの最初のステップを示す、基板の断
面図である。
【図16】図15に続くステップを示す、基板の断面図
である。
【図17】図16に続くステップを示す、基板の断面図
である。
【図18】図17に続くステップを示す、基板の断面図
である。
【図19】図18に続くステップを示す、基板の断面図
である。
【符号の説明】
10 誘電体 12 メタライゼーション層 16 硬質金属層 18 耐熱金属 20 台形のギャップ 22 耐熱金属シード層 24 共形キャッピング層 30 誘電層 32 基板 34 メタライゼーション線 36 バイア 38 低抵抗率メタライゼーション 40 硬質金属
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ペイ=イン・ポール・リー アメリカ合衆国05495、バーモント州ウィ リストン、スターラップ・サークル 32 (72)発明者 トーマス・エドウィン・サンドウィック アメリカ合衆国12533、ニューヨーク州ホ ープウェル・ジャンクション、ビークマ ン・ロード 585 (72)発明者 ベルンド・ミハエル・フォルマー アメリカ合衆国12950、ニューヨーク州ワ ッピンガーズ・フォールズ、マイヤーズ・ コーナー・ロード 295 (72)発明者 ヴィクター・ヴィノリウス アメリカ合衆国12569、ニューヨーク州プ レザント・バレー、フォレスト・バレー・ ロード 19 (72)発明者 スチュアート・ハワード・ウルフ アメリカ合衆国74136、オクラホマ州タル サ、サウス・ニュー・ヘーヴン 6653

Claims (9)

    【特許請求の範囲】
  1. 【請求項1】基板内のトレンチまたはホール中に、低抵
    抗率の軟質金属または合金を、前記軟質金属または合金
    が、前記トレンチまたはホール中に付着された、前記基
    板表面より下に位置する第1の部分と、前記基板の表面
    上に付着された第2の部分とに分離されるのに十分な温
    度で、着するステップと、 前記軟質金属または合金の上に硬質金属または合金を付
    着するステップと、 前記基板を研磨して、前記トレンチまたは前記ホール中
    に形成された線またはバイアを、それぞれ前記基板の前
    記表面に対して平面化するステップとを含む、 基板に埋込み金属を形成する方法。
  2. 【請求項2】前記軟質金属または合金の付着ステップを
    スパッタリングによって行うことを特徴とする、請求項
    1に記載の方法。
  3. 【請求項3】前記スパッタリングがコリメート・スパッ
    タリングであることを特徴とする、請求項2に記載の方
    法。
  4. 【請求項4】前記付着ステップに使用する温度が100
    ℃を超えることを特徴とする、請求項2に記載の方法。
  5. 【請求項5】前記研磨ステップを、アルミナを含有し酸
    をベースとする化学機械式研磨コンパウンドによって行
    うことを特徴とする、請求項1に記載の方法。
  6. 【請求項6】さらに、前記軟質金属または合金を付着す
    る前記ステップで付着された前記軟質金属または合金の
    拡散障壁として働く材料で、前記トレンチまたはホール
    をライニングするステップを含むことを特徴とする、請
    求項1に記載の方法。
  7. 【請求項7】少なくとも1つのトレンチまたはホールを
    持つ基板上に、低抵抗率の軟質金属または合金を付着
    し、前記トレンチまたはホールを前記基板の上面より下
    の点まで充填するステップと、 前記トレンチまたはホール中の前記軟質金属または合金
    の第1の部分と、前記基板の前記上面上の前記軟質金属
    または合金の第2の部分とを接続する、軟質金属または
    合金を除去するステップと、 前記軟質金属または合金の上に硬質金属または合金を付
    着するステップと、 前記基板を研磨して、前記トレンチまたは前記ホール中
    に形成された線またはバイアを、それぞれ前記基板の前
    記表面に対して平面化するステップとを含む基板に埋込
    み金属を形成する方法。
  8. 【請求項8】基板内のトレンチまたはホール中に金属シ
    ード層を付着するステップと、 前記金属シード層上に、低抵抗率の軟質金属または合金
    を選択的に付着し、前記トレンチまたはホールを、前記
    基板の上面より下の点まで充填するステップと、 前記軟質金属または合金の上に硬質金属または合金を付
    着するステップと、 前記基板を研磨して、前記トレンチまたは前記ホール中
    に形成された線またはバイアを、前記基板の前記表面に
    対して平面化するステップとを含む基板に埋込み金属を
    形成する方法。
  9. 【請求項9】前記軟質金属または合金を選択的に付着す
    る前記ステップを、成長によって行うことを特徴とす
    る、請求項13に記載の方法。
JP5016123A 1992-02-26 1993-02-03 基板に埋込み金属を形成する方法 Expired - Lifetime JP2989408B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US841693 1992-02-26
US07/841,693 US5262354A (en) 1992-02-26 1992-02-26 Refractory metal capped low resistivity metal conductor lines and vias

Publications (2)

Publication Number Publication Date
JPH0684826A true JPH0684826A (ja) 1994-03-25
JP2989408B2 JP2989408B2 (ja) 1999-12-13

Family

ID=25285485

Family Applications (1)

Application Number Title Priority Date Filing Date
JP5016123A Expired - Lifetime JP2989408B2 (ja) 1992-02-26 1993-02-03 基板に埋込み金属を形成する方法

Country Status (9)

Country Link
US (1) US5262354A (ja)
EP (1) EP0558004B1 (ja)
JP (1) JP2989408B2 (ja)
KR (1) KR970006973B1 (ja)
CN (1) CN1027610C (ja)
AT (1) ATE159615T1 (ja)
DE (1) DE69314679T2 (ja)
HK (1) HK1001601A1 (ja)
TW (1) TW367599B (ja)

Families Citing this family (133)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5300813A (en) 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
EP0609496B1 (de) * 1993-01-19 1998-04-15 Siemens Aktiengesellschaft Verfahren zur Herstellung einer Kontakte und diese verbindende Leiterbahnen umfassenden Metallisierungsebene
KR960004095B1 (en) * 1993-02-17 1996-03-26 Hyundai Electronics Ind Manufacturing method of metal plug in contact-hole
JP3326698B2 (ja) * 1993-03-19 2002-09-24 富士通株式会社 集積回路装置の製造方法
JP3360350B2 (ja) * 1993-04-21 2002-12-24 ヤマハ株式会社 表面平坦化法
JP2699839B2 (ja) * 1993-12-03 1998-01-19 日本電気株式会社 半導体装置の製造方法
US5642073A (en) * 1993-12-06 1997-06-24 Micron Technology, Inc. System powered with inter-coupled charge pumps
KR0124644B1 (ko) * 1994-05-10 1997-12-11 문정환 반도체소자의 다층금속배선의 형성방법
US5447874A (en) * 1994-07-29 1995-09-05 Grivna; Gordon Method for making a semiconductor device comprising a dual metal gate using a chemical mechanical polish
EP0697730B1 (en) * 1994-08-05 1999-11-24 International Business Machines Corporation Method of forming an Al-Ge alloy with WGe polishing stop
US5686356A (en) 1994-09-30 1997-11-11 Texas Instruments Incorporated Conductor reticulation for improved device planarity
US5635423A (en) * 1994-10-11 1997-06-03 Advanced Micro Devices, Inc. Simplified dual damascene process for multi-level metallization and interconnection structure
US5529953A (en) * 1994-10-14 1996-06-25 Toshiba America Electronic Components, Inc. Method of forming studs and interconnects in a multi-layered semiconductor device
US5602423A (en) * 1994-11-01 1997-02-11 Texas Instruments Incorporated Damascene conductors with embedded pillars
KR960026249A (ko) * 1994-12-12 1996-07-22 윌리엄 이. 힐러 고압, 저온 반도체 갭 충진 프로세스
US6285082B1 (en) * 1995-01-03 2001-09-04 International Business Machines Corporation Soft metal conductor
TW290731B (ja) * 1995-03-30 1996-11-11 Siemens Ag
KR0179827B1 (ko) * 1995-05-27 1999-04-15 문정환 반도체 소자의 배선 형성방법
WO1996038859A1 (en) * 1995-06-02 1996-12-05 Advanced Micro Devices, Inc. Surface conditioning insulating layer for fine line conductive pattern
US5665201A (en) * 1995-06-06 1997-09-09 Advanced Micro Devices, Inc. High removal rate chemical-mechanical polishing
US5702563A (en) * 1995-06-07 1997-12-30 Advanced Micro Devices, Inc. Reduced chemical-mechanical polishing particulate contamination
US5705430A (en) * 1995-06-07 1998-01-06 Advanced Micro Devices, Inc. Dual damascene with a sacrificial via fill
US5614765A (en) * 1995-06-07 1997-03-25 Advanced Micro Devices, Inc. Self aligned via dual damascene
US5686354A (en) * 1995-06-07 1997-11-11 Advanced Micro Devices, Inc. Dual damascene with a protective mask for via etching
US5691238A (en) * 1995-06-07 1997-11-25 Advanced Micro Devices, Inc. Subtractive dual damascene
US5712510A (en) * 1995-08-04 1998-01-27 Advanced Micro Devices, Inc. Reduced electromigration interconnection line
JP3274324B2 (ja) * 1995-09-01 2002-04-15 株式会社東芝 半導体装置の製造方法
US5689139A (en) * 1995-09-11 1997-11-18 Advanced Micro Devices, Inc. Enhanced electromigration lifetime of metal interconnection lines
KR970707571A (ko) * 1995-09-14 1997-12-01 이시마루 미키오 축소 치수용 다마스크 공정(damascene process for reduced feature size)
US6743723B2 (en) 1995-09-14 2004-06-01 Canon Kabushiki Kaisha Method for fabricating semiconductor device
US5693568A (en) * 1995-12-14 1997-12-02 Advanced Micro Devices, Inc. Reverse damascene via structures
US5858832A (en) 1996-03-11 1999-01-12 Chartered Semiconduction Manufacturing Ltd. Method for forming a high areal capacitance planar capacitor
US5976970A (en) * 1996-03-29 1999-11-02 International Business Machines Corporation Method of making and laterally filling key hole structure for ultra fine pitch conductor lines
US5654216A (en) * 1996-04-08 1997-08-05 Chartered Semiconductor Manufacturing Pte Ltd. Formation of a metal via structure from a composite metal layer
JP3304754B2 (ja) * 1996-04-11 2002-07-22 三菱電機株式会社 集積回路の多段埋め込み配線構造
US5843839A (en) * 1996-04-29 1998-12-01 Chartered Semiconductor Manufacturing, Ltd. Formation of a metal via using a raised metal plug structure
US5814557A (en) * 1996-05-20 1998-09-29 Motorola, Inc. Method of forming an interconnect structure
US5948700A (en) * 1996-05-20 1999-09-07 Chartered Semiconductor Manufacturing Ltd. Method of planarization of an intermetal dielectric layer using chemical mechanical polishing
US5801093A (en) * 1996-06-13 1998-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Process for creating vias using pillar technology
US5663108A (en) * 1996-06-13 1997-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Optimized metal pillar via process
US6077768A (en) * 1996-07-19 2000-06-20 Motorola, Inc. Process for fabricating a multilevel interconnect
US6309971B1 (en) 1996-08-01 2001-10-30 Cypress Semiconductor Corporation Hot metallization process
US5956612A (en) * 1996-08-09 1999-09-21 Micron Technology, Inc. Trench/hole fill processes for semiconductor fabrication
US5972792A (en) * 1996-10-18 1999-10-26 Micron Technology, Inc. Method for chemical-mechanical planarization of a substrate on a fixed-abrasive polishing pad
US5861676A (en) * 1996-11-27 1999-01-19 Cypress Semiconductor Corp. Method of forming robust interconnect and contact structures in a semiconductor and/or integrated circuit
US6110396A (en) 1996-11-27 2000-08-29 International Business Machines Corporation Dual-valent rare earth additives to polishing slurries
US5876490A (en) * 1996-12-09 1999-03-02 International Business Machines Corporatin Polish process and slurry for planarization
US5897371A (en) * 1996-12-19 1999-04-27 Cypress Semiconductor Corp. Alignment process compatible with chemical mechanical polishing
US6537905B1 (en) 1996-12-30 2003-03-25 Applied Materials, Inc. Fully planarized dual damascene metallization using copper line interconnect and selective CVD aluminum plug
JPH10209279A (ja) * 1997-01-27 1998-08-07 Matsushita Electron Corp 金属プラグの形成方法
US5780204A (en) * 1997-02-03 1998-07-14 Advanced Micro Devices, Inc. Backside wafer polishing for improved photolithography
US5863707A (en) * 1997-02-11 1999-01-26 Advanced Micro Devices, Inc. Method for producing ultra-fine interconnection features
US6136510A (en) * 1997-02-13 2000-10-24 Advanced Micro Devices, Inc. Doubled-sided wafer scrubbing for improved photolithography
JP3228181B2 (ja) 1997-05-12 2001-11-12 ヤマハ株式会社 平坦配線形成法
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US5833820A (en) * 1997-06-19 1998-11-10 Advanced Micro Devices, Inc. Electroplating apparatus
US5899706A (en) * 1997-06-30 1999-05-04 Siemens Aktiengesellschaft Method of reducing loading variation during etch processing
US5972192A (en) * 1997-07-23 1999-10-26 Advanced Micro Devices, Inc. Pulse electroplating copper or copper alloys
US6240199B1 (en) 1997-07-24 2001-05-29 Agere Systems Guardian Corp. Electronic apparatus having improved scratch and mechanical resistance
US6175145B1 (en) * 1997-07-26 2001-01-16 Samsung Electronics Co., Ltd. Method of making a fuse in a semiconductor device and a semiconductor device having a fuse
US5989623A (en) * 1997-08-19 1999-11-23 Applied Materials, Inc. Dual damascene metallization
US5990011A (en) * 1997-09-18 1999-11-23 Micron Technology, Inc. Titanium aluminum alloy wetting layer for improved aluminum filling of damescene trenches
US6150691A (en) * 1997-12-19 2000-11-21 Micron Technology, Inc. Spacer patterned, high dielectric constant capacitor
US6028004A (en) * 1998-01-06 2000-02-22 International Business Machines Corporation Process for controlling the height of a stud intersecting an interconnect
US6025226A (en) * 1998-01-15 2000-02-15 International Business Machines Corporation Method of forming a capacitor and a capacitor formed using the method
US6081021A (en) * 1998-01-15 2000-06-27 International Business Machines Corporation Conductor-insulator-conductor structure
US6200896B1 (en) 1998-01-22 2001-03-13 Cypress Semiconductor Corporation Employing an acidic liquid and an abrasive surface to polish a semiconductor topography
US6204168B1 (en) 1998-02-02 2001-03-20 Applied Materials, Inc. Damascene structure fabricated using a layer of silicon-based photoresist material
US6140236A (en) * 1998-04-21 2000-10-31 Kabushiki Kaisha Toshiba High throughput A1-Cu thin film sputtering process on small contact via for manufacturable beol wiring
US6218306B1 (en) 1998-04-22 2001-04-17 Applied Materials, Inc. Method of chemical mechanical polishing a metal layer
US6111301A (en) * 1998-04-24 2000-08-29 International Business Machines Corporation Interconnection with integrated corrosion stop
US6056869A (en) * 1998-06-04 2000-05-02 International Business Machines Corporation Wafer edge deplater for chemical mechanical polishing of substrates
US6200901B1 (en) * 1998-06-10 2001-03-13 Micron Technology, Inc. Polishing polymer surfaces on non-porous CMP pads
US6220934B1 (en) 1998-07-23 2001-04-24 Micron Technology, Inc. Method for controlling pH during planarization and cleaning of microelectronic substrates
US6245662B1 (en) 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
US6287977B1 (en) 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
FR2781922B1 (fr) * 1998-07-31 2001-11-23 Clariant France Sa Procede de polissage mecano-chimique d'une couche en un materiau a base de cuivre
US5972124A (en) 1998-08-31 1999-10-26 Advanced Micro Devices, Inc. Method for cleaning a surface of a dielectric material
US6232231B1 (en) 1998-08-31 2001-05-15 Cypress Semiconductor Corporation Planarized semiconductor interconnect topography and method for polishing a metal layer to form interconnect
US6051496A (en) * 1998-09-17 2000-04-18 Taiwan Semiconductor Manufacturing Company Use of stop layer for chemical mechanical polishing of CU damascene
US6110648A (en) * 1998-09-17 2000-08-29 Taiwan Semiconductor Manufacturing Company Method of enclosing copper conductor in a dual damascene process
US6071814A (en) * 1998-09-28 2000-06-06 Taiwan Semiconductor Manufacturing Company Selective electroplating of copper for damascene process
US6566249B1 (en) 1998-11-09 2003-05-20 Cypress Semiconductor Corp. Planarized semiconductor interconnect topography and method for polishing a metal layer to form wide interconnect structures
US6276996B1 (en) 1998-11-10 2001-08-21 Micron Technology, Inc. Copper chemical-mechanical polishing process using a fixed abrasive polishing pad and a copper layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6206756B1 (en) 1998-11-10 2001-03-27 Micron Technology, Inc. Tungsten chemical-mechanical polishing process using a fixed abrasive polishing pad and a tungsten layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6100168A (en) * 1998-11-16 2000-08-08 Industrial Technology Research Institute Location selective transmutation doping on silicon wafers using high energy deuterons
US6265308B1 (en) * 1998-11-30 2001-07-24 International Business Machines Corporation Slotted damascene lines for low resistive wiring lines for integrated circuit
US6181011B1 (en) 1998-12-29 2001-01-30 Kawasaki Steel Corporation Method of controlling critical dimension of features in integrated circuits (ICS), ICS formed by the method, and systems utilizing same
US6114246A (en) * 1999-01-07 2000-09-05 Vlsi Technology, Inc. Method of using a polish stop film to control dishing during copper chemical mechanical polishing
EP1157059A1 (en) 1999-01-08 2001-11-28 The Dow Chemical Company Low dielectric constant polymers having good adhesion and toughness and articles made with such polymers
US6174801B1 (en) 1999-03-05 2001-01-16 Taiwan Semiconductor Manufacturing Company E-beam direct writing to pattern step profiles of dielectric layers applied to fill poly via with poly line, contact with metal line, and metal via with metal line
US6157081A (en) * 1999-03-10 2000-12-05 Advanced Micro Devices, Inc. High-reliability damascene interconnect formation for semiconductor fabrication
US6395607B1 (en) 1999-06-09 2002-05-28 Alliedsignal Inc. Integrated circuit fabrication method for self-aligned copper diffusion barrier
US6465376B2 (en) * 1999-08-18 2002-10-15 International Business Machines Corporation Method and structure for improving electromigration of chip interconnects
US6433429B1 (en) 1999-09-01 2002-08-13 International Business Machines Corporation Copper conductive line with redundant liner and method of making
US6114243A (en) * 1999-11-15 2000-09-05 Chartered Semiconductor Manufacturing Ltd Method to avoid copper contamination on the sidewall of a via or a dual damascene structure
US6344419B1 (en) 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6295721B1 (en) * 1999-12-28 2001-10-02 Taiwan Semiconductor Manufacturing Company Metal fuse in copper dual damascene
US6303486B1 (en) * 2000-01-28 2001-10-16 Advanced Micro Devices, Inc. Method of fabricating copper-based semiconductor devices using a sacrificial dielectric layer and an unconstrained copper anneal
US6261960B1 (en) * 2000-04-06 2001-07-17 Advanced Micro Devices, Inc High density contacts having rectangular cross-section for dual damascene applications
US6554979B2 (en) 2000-06-05 2003-04-29 Applied Materials, Inc. Method and apparatus for bias deposition in a modulating electric field
US6635566B1 (en) * 2000-06-15 2003-10-21 Cypress Semiconductor Corporation Method of making metallization and contact structures in an integrated circuit
US6399512B1 (en) 2000-06-15 2002-06-04 Cypress Semiconductor Corporation Method of making metallization and contact structures in an integrated circuit comprising an etch stop layer
US8030172B1 (en) 2000-09-12 2011-10-04 Cypress Semiconductor Corporation Isolation technology for submicron semiconductor devices
US6373135B1 (en) * 2000-09-14 2002-04-16 Infineon Technologies Ag Semiconductor structure and method of fabrication
US6709874B2 (en) * 2001-01-24 2004-03-23 Infineon Technologies Ag Method of manufacturing a metal cap layer for preventing damascene conductive lines from oxidation
US6969684B1 (en) 2001-04-30 2005-11-29 Cypress Semiconductor Corp. Method of making a planarized semiconductor structure
US6518641B2 (en) 2001-05-18 2003-02-11 International Business Machines Corporation Deep slit isolation with controlled void
JP2003023070A (ja) * 2001-07-05 2003-01-24 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6746591B2 (en) 2001-10-16 2004-06-08 Applied Materials Inc. ECP gap fill by modulating the voltate on the seed layer to increase copper concentration inside feature
KR100449320B1 (ko) * 2001-12-20 2004-09-18 동부전자 주식회사 반도체 소자의 소자 분리막 형성방법
US6943105B2 (en) * 2002-01-18 2005-09-13 International Business Machines Corporation Soft metal conductor and method of making
US6835616B1 (en) 2002-01-29 2004-12-28 Cypress Semiconductor Corporation Method of forming a floating metal structure in an integrated circuit
US7026235B1 (en) 2002-02-07 2006-04-11 Cypress Semiconductor Corporation Dual-damascene process and associated floating metal structures
US6828678B1 (en) 2002-03-29 2004-12-07 Silicon Magnetic Systems Semiconductor topography with a fill material arranged within a plurality of valleys associated with the surface roughness of the metal layer
US6833575B2 (en) * 2002-08-29 2004-12-21 Micron Technology, Inc. Dopant barrier for doped glass in memory devices
US20040092102A1 (en) * 2002-11-12 2004-05-13 Sachem, Inc. Chemical mechanical polishing composition and method
US6975032B2 (en) 2002-12-16 2005-12-13 International Business Machines Corporation Copper recess process with application to selective capping and electroless plating
US6818285B2 (en) * 2002-12-31 2004-11-16 International Business Machines Corporation Composition and method to achieve reduced thermal expansion in polyarylene networks
WO2004073824A2 (en) * 2003-02-20 2004-09-02 Dow Global Technologies Inc. Method of synthesis of polyarylenes and the polyarylenes made by such method
US20040174596A1 (en) * 2003-03-05 2004-09-09 Ricoh Optical Industries Co., Ltd. Polarization optical device and manufacturing method therefor
US7205228B2 (en) * 2003-06-03 2007-04-17 Applied Materials, Inc. Selective metal encapsulation schemes
US20060286306A1 (en) * 2005-06-17 2006-12-21 Asm Japan K.K. Method of producing advanced low dielectric constant film by UV light emission
US7789965B2 (en) 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US7960036B2 (en) * 2007-07-31 2011-06-14 International Business Machines Corporation Semiconductor structure and method of manufacturing same
US20090093135A1 (en) * 2007-10-04 2009-04-09 Asm Japan K.K. Semiconductor manufacturing apparatus and method for curing material with uv light
US20110101534A1 (en) * 2009-11-04 2011-05-05 International Business Machines Corporation Automated short length wire shape strapping and methods of fabricting the same
US8575000B2 (en) * 2011-07-19 2013-11-05 SanDisk Technologies, Inc. Copper interconnects separated by air gaps and method of making thereof
JP2013077711A (ja) * 2011-09-30 2013-04-25 Sony Corp 半導体装置および半導体装置の製造方法
KR101992352B1 (ko) * 2012-09-25 2019-06-24 삼성전자주식회사 반도체 장치
US9379221B1 (en) * 2015-01-08 2016-06-28 International Business Machines Corporation Bottom-up metal gate formation on replacement metal gate finFET devices
US9588298B2 (en) 2015-06-04 2017-03-07 Elenion Technologies, Llc Edge coupler
US10886225B2 (en) 2018-03-05 2021-01-05 International Business Machines Corporation BEOL alternative metal interconnects: integration and process

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58110673A (ja) * 1981-12-23 1983-07-01 Hitachi Ltd 反応性スパツタリング装置
JPS61284937A (ja) * 1985-06-10 1986-12-15 Nippon Telegr & Teleph Corp <Ntt> 半導体装置とその製造方法
JPS62102545A (ja) * 1985-10-28 1987-05-13 インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション 導体パタ−ンの形成方法
JPS6455845A (en) * 1987-08-17 1989-03-02 Ibm Method of forming conductive stud
JPH01266719A (ja) * 1988-04-19 1989-10-24 Fujitsu Ltd 半導体装置の製造方法
JPH0283978A (ja) * 1988-09-20 1990-03-26 Nec Corp 半導体装置

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4035276A (en) * 1976-04-29 1977-07-12 Ibm Corporation Making coplanar layers of thin films
US4339305A (en) * 1981-02-05 1982-07-13 Rockwell International Corporation Planar circuit fabrication by plating and liftoff
US4526631A (en) * 1984-06-25 1985-07-02 International Business Machines Corporation Method for forming a void free isolation pattern utilizing etch and refill techniques
US4944836A (en) * 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US4789648A (en) * 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US4824802A (en) * 1986-02-28 1989-04-25 General Electric Company Method of filling interlevel dielectric via or contact holes in multilevel VLSI metallization structures
EP0275299A1 (en) * 1986-07-31 1988-07-27 AT&T Corp. Semiconductor devices having improved metallization
US4956313A (en) * 1987-08-17 1990-09-11 International Business Machines Corporation Via-filling and planarization technique
US4824544A (en) * 1987-10-29 1989-04-25 International Business Machines Corporation Large area cathode lift-off sputter deposition device
US4847214A (en) * 1988-04-18 1989-07-11 Motorola Inc. Method for filling trenches from a seed layer
US4822753A (en) * 1988-05-09 1989-04-18 Motorola, Inc. Method for making a w/tin contact
US4992135A (en) * 1990-07-24 1991-02-12 Micron Technology, Inc. Method of etching back of tungsten layers on semiconductor wafers, and solution therefore

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58110673A (ja) * 1981-12-23 1983-07-01 Hitachi Ltd 反応性スパツタリング装置
JPS61284937A (ja) * 1985-06-10 1986-12-15 Nippon Telegr & Teleph Corp <Ntt> 半導体装置とその製造方法
JPS62102545A (ja) * 1985-10-28 1987-05-13 インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション 導体パタ−ンの形成方法
JPS6455845A (en) * 1987-08-17 1989-03-02 Ibm Method of forming conductive stud
JPH01266719A (ja) * 1988-04-19 1989-10-24 Fujitsu Ltd 半導体装置の製造方法
JPH0283978A (ja) * 1988-09-20 1990-03-26 Nec Corp 半導体装置

Also Published As

Publication number Publication date
EP0558004A2 (en) 1993-09-01
CN1076547A (zh) 1993-09-22
HK1001601A1 (en) 1998-06-26
KR970006973B1 (ko) 1997-05-01
CN1027610C (zh) 1995-02-08
TW367599B (en) 1999-08-21
DE69314679D1 (de) 1997-11-27
KR930018701A (ko) 1993-09-22
ATE159615T1 (de) 1997-11-15
DE69314679T2 (de) 1998-04-02
EP0558004A3 (ja) 1994-01-12
EP0558004B1 (en) 1997-10-22
US5262354A (en) 1993-11-16
JP2989408B2 (ja) 1999-12-13

Similar Documents

Publication Publication Date Title
JP2989408B2 (ja) 基板に埋込み金属を形成する方法
JP2516307B2 (ja) 耐熱金属でキャップした低抵抗率の導体構造およびその形成方法
JP3083735B2 (ja) 表面拡散による高アスペクト比低抵抗率線/バイア構造およびその製造方法
US6509267B1 (en) Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer
US7166922B1 (en) Continuous metal interconnects
JP2001516153A (ja) Cvdバリア層を有するボーダーレスバイア
US6465889B1 (en) Silicon carbide barc in dual damascene processing
US6215189B1 (en) Semiconductor device having interconnect layer and method of manufacturing therefor
US6503828B1 (en) Process for selective polishing of metal-filled trenches of integrated circuit structures
JP2002299343A (ja) 半導体装置の製造方法
KR100408182B1 (ko) 구리 배선용 장벽층 형성 방법

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20071008

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081008

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091008

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101008

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101008

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111008

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121008

Year of fee payment: 13

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121008

Year of fee payment: 13

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131008

Year of fee payment: 14

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131008

Year of fee payment: 14