JPH1093587A - Stm基盤atmセル物理階層処理回路 - Google Patents

Stm基盤atmセル物理階層処理回路

Info

Publication number
JPH1093587A
JPH1093587A JP20649497A JP20649497A JPH1093587A JP H1093587 A JPH1093587 A JP H1093587A JP 20649497 A JP20649497 A JP 20649497A JP 20649497 A JP20649497 A JP 20649497A JP H1093587 A JPH1093587 A JP H1093587A
Authority
JP
Japan
Prior art keywords
processing unit
atm cell
processing
section
transmission
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP20649497A
Other languages
English (en)
Other versions
JP3290384B2 (ja
Inventor
Kimu Chan
チャン・キム
Ho Paku Yoen
ヨェン・ホ・パク
Sabu Eomu Do
ド・サブ・エオム
Keun Kimu Jae
ジャエ・ケウン・キム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Electronics and Telecommunications Research Institute ETRI
Original Assignee
Electronics and Telecommunications Research Institute ETRI
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Electronics and Telecommunications Research Institute ETRI filed Critical Electronics and Telecommunications Research Institute ETRI
Publication of JPH1093587A publication Critical patent/JPH1093587A/ja
Application granted granted Critical
Publication of JP3290384B2 publication Critical patent/JP3290384B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04JMULTIPLEX COMMUNICATION
    • H04J3/00Time-division multiplex systems
    • H04J3/16Time-division multiplex systems in which the time allocation to individual channels within a transmission cycle is variable, e.g. to accommodate varying complexity of signals, to vary number of channels transmitted
    • H04J3/1605Fixed allocated frame structures
    • H04J3/1611Synchronous digital hierarchy [SDH] or SONET
    • H04J3/1617Synchronous digital hierarchy [SDH] or SONET carrying packets or ATM cells
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L12/00Data switching networks
    • H04L12/54Store-and-forward switching systems 
    • H04L12/56Packet switching systems
    • H04L12/5601Transfer mode dependent, e.g. ATM
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L12/00Data switching networks
    • H04L12/54Store-and-forward switching systems 
    • H04L12/56Packet switching systems
    • H04L12/5601Transfer mode dependent, e.g. ATM
    • H04L2012/5638Services, e.g. multimedia, GOS, QOS
    • H04L2012/5646Cell characteristics, e.g. loss, delay, jitter, sequence integrity
    • H04L2012/5652Cell construction, e.g. including header, packetisation, depacketisation, assembly, reassembly

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Signal Processing (AREA)
  • Data Exchanges In Wide-Area Networks (AREA)
  • Time-Division Multiplex Systems (AREA)

Abstract

(57)【要約】 【課題】 ATM(Synchronous Transfer Module)セ
ル処理を高速でバイト単位で処理し、STM(Asynchro
nous Transfer Mode)処理等残りの部分は低速で4個の
バイトストリームで並列処理して回路の動作速度を下げ
て実現を容易にし、またシミュレーションと実際の動作
状況でのテストが短時間にて可能とするSTM基盤AT
Mセル物理階層処理回路を実現すること。 【解決手段】 バイト処理部と並列処理部間の1:4多
重、逆多重化部を有する全体回路の構造と、ATMセル
処理部においての16ビットユートピア形式のデータと
標準ATMセル形式間の実時間変換方法と、全体の回路
を9×30の形式で動作させるための制御回路を構成す
る。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明はITU―TのI.4
32とG.70xに依拠して(又はATM―Forum
の規格に依拠して)622Mbpsの速度を有するST
M4―4c又はSTS―12cフレームを通じてATM
(Asynchronous Transfer Mode)セルを送受信する物理
階層機能処理集積回路に関するものである。
【0002】
【従来の技術】ITU―Tの同期デジタル階層(SD
H;Synchoronous Digita1 Hierarchy)は同期式伝送網
における伝送方式に関し、9×270の形式を有する同
期伝送モジュール1(STM1;Synchronous Transfer
Module1)を基本伝送単位としている。このような伝
送フレームが毎秒8000回伝送されるため、STM1
は155.52Mbpsの伝送速度を有する。STM1
はフレーム内部に伝送路の運営と管理のためのセクショ
ンオーバヘッド(SOH;Section Overhead=RSOH
+MSOH)を有し、このSOHを除外した部分に9×
261の形態を有する仮想コンテナ4(VC4;Virtua
1 Container4)1個、又は9×90の形式を有する仮
想コンテナ3(VC3;Virtua1 Container3)3個を
収容することができる。このような仮想コンテナ(V
C)はフレーム上のペイロード部分の任意の位置で始め
ることができるが、フレーム上でのVCのスタート位置
をフレーム上のオーバヘッドの一つであるポインタを用
いて表すことになる。ポインタはVCのスタート位置を
ポインタバイトからのオフセットで表したが、1個のV
Cが多数の伝送装置を経由する場合は、伝送装置におけ
る処理遅延とクロック速度の差異とによりポインタ値が
変更することもある。STM1フレームには3個のVC
3のための3個のポインタが存在し、1個のVC4が存
在するときは最初のポインタだけが使用され、残りの2
個は連続的に表示(CI;Concatenation Indication)
される。
【0003】「Concatenation」とは、あるVCが前の
VCと連結されより大きい容量を有する単一のVCにな
ることを意味し、このように連結されたVCは中間で分
割されることなく終了するまで1個の単位として処理さ
れる。このように9×261の形態を有するVC4にお
いて、最初の1列はVC4の伝達と関連した運用及び補
修(OAM;Operation and Maintenance)のため用い
るパスオーバヘッド(POH;Path Overhead)を伝送
するため使用される。残りの9×260部分は、実際の
データを伝達するための部分でコンテナ4(C4;Cont
ainer4)と呼ばれる。C4は9×260の形式を取っ
ているため149.76Mbpsの伝達容量を有する。
【0004】さらにVC4―4cはこのような9×26
1のVC4が4個集まって1個の単一伝達容量を有する
VCになったものでありC4が4個集まってできたC4
―4cを伝達することができる。このようなVC4―4
cはSTM1の4個がバイト単位に時間的にバイトイン
タリーブ(byte interleaved)された形態である。ST
M4により伝達されることができる。この場合STM4
は1個のVC4―4cを伝達するため12個のポインタ
のうち1個のポインタだけが用いられ、残りの11個は
CIとして表示される。
【0005】図10は同期伝送モジュール(STM4―
4c)フレームの形式を表した概略図であり、表1を参
照して動作を説明すると次のようになる。
【0006】表1にはVC4―4cがSTM4を通じて
伝送されるときのSOHとポインタの形式を表す。VC
4―4cデータとVC4―4cのスタート位置を示すポ
インタ(H1、H2)を合わせてAU4―4cと呼ぶ。
VC4―4cにATMセルが収容されるときは連続した
ATMセルの流れに加わり、C4―4c内におけるAT
Mセルのスタート位置は別に決められておらずATMセ
ルはVC4―4cの境界にまたがって位置することもで
きる。622Mbpsの同期式光通信網(SONET;
Synchronous Optica1 Network)/STM用ATMセル
物理階層回路の実現方式に関する従来の特許は検索され
なかった。
【0007】表1は同期伝送モジュール(STM4―4
c)のオーバヘッドバイト(SOH、POH)を表す。
【0008】
【表1】
【0009】
【発明が解決しようとする課題】このような622Mb
psATMセル物理階層回路を実現するにあたり、適当
でない構造を選択する場合、多くの部分の回路動作速度
が77.76MHzの高速になるため実現が難しくなる
短所があり、さらにハードウェアの量が増えるという短
所もある。またSTM回路の特性上、大部分の機能がフ
レーム単位であるためSTM回路が特定用途集積回路
(ASIC;Application Specific Integrated Circui
t)等の超大規模集積回路(VLSI)に実現される場
合、設計期間中のシミュレーションにおいて大部分の機
能を確認するためには多くの時間を必要とする。さらに
工程の後、チップのテストをする場合、テストベクトル
を用いて実際のような動作を試験するためにはあまり多
くのベクトル数(クロック数)を必要とするので、実際
のような動作をテストすることができないという短所が
ある。
【0010】したがって、本発明はITU―TのI.4
32の規格によりATMセルを622MbpsのSTM
4―4c又はSTS―12cフレームを通じて伝送し、
受信するATMセル物理階層処理回路を作ることにより
使用者の便宜を図り、上位にはATMフォロム(foru
m)の16ビットユートピアインタフェースを提供し、
ATMセル処理は77.76MHzにおいてバイト単位
で処理し、STM処理等残りの部分は19M速度で4個
のバイトストリームで並列処理して回路の動作速度を下
げて実現を容易にするATMセル物理階層処理回路を提
供することにその目的がある。
【0011】さらに、本発明によるATMセル物理階層
処理回路の別の目的は155Mbps又は622Mbp
sのSTM基盤ATMセル物理階層処理回路において、
大部分の機能がフレーム単位であるためシミュレーショ
ンと実際の動作のような入力を与えるチップのテストに
あまりにも多くの時間を必要とすることを避けるため各
ブロックのタイミング発生回路を修正して特定テストモ
ードにおいてはフレームの形式が9×270でなく9×
30になるようにし、9倍も短い時間(又はテストベク
トルの数)内に大部分の機能を確認することができるよ
うにするためである。即ち、本発明はバイト処理部と並
列処理部間の1:4多重、逆多重化部を有する全体回路
の構造とATMセル処理部から16ビットユートピア形
式のデータと標準ATMセル形式間の実時間変換に係
り、全体の回路を9×30の形式で動作させるための制
御回路の構成に関するものである。
【0012】
【課題を解決するための手段】上述した目的を達成する
ための本発明によるATMセル物理階層処理回路は送信
ATM先入れ先出し器(FIFO)からセルを読み出
し、アイドル(idle)セルを挿入し、HECを挿入し、
ペイロードスクランブルをし、C4―4cデータを構成
する送信ATMセル処理部と、受信されたC4―4cデ
ータからHECを用いてセル境界を識別し、ペイロード
デスクランブルとアイドルセルとを除去した後、受信A
TMセルFIFOに書き込み機能を遂行する受信ATM
セル処理部と、パスオーバヘッド(POH;Path Overh
ead)を生成し、挿入する送信パスオーバヘッド処理部
と、受信されたVC4―4cデータからパスオーバヘッ
ドを抽出し、処理する機能を遂行する受信パスオーバヘ
ッド処理部と、VC4―4cの位置を示すポインタ値を
生成し、フレームに挿入する機能を担当する送信ポイン
タ処理部と、受信されたフレームデータからポインタ値
を抽出し、解釈し、VC4―4cの位置を検出する機能
を担当する受信ポインタ処理部と、セクションオーバヘ
ッドを生成し、挿入する送信セクションオーバヘッド処
理部と、受信されたフレームからセクションオーバヘッ
ドを抽出し、処理する機能を担当する受信セクションオ
ーバヘッド処理部と、上記送信部及び受信部においてフ
レームを処理する送信フレーム処理部及び受信フレーム
処理部と、上記送信ATMセル処理部、上記受信ATM
セル処理部、上記送信パスオーバヘッド処理部、上記受
信パスオーバヘッド処理部、上記送信ポインタ処理部、
上記受信ポインタ処理部、上記送信セクションオーバヘ
ッド処理部、上記受信セクションオーバヘッド処理部及
び上記送受信フレーム処理部を各々制御するための多数
の制御部とにより構成されることを特徴とする。
【0013】
【発明の実施の形態】以下に添付した図面を参照して本
発明を詳細に説明する。
【0014】図1は本発明によるATMセル物理階層処
理回路のブロック図であり、その動作を説明すると次の
ようになる。上位からATMセル蓄積用FIFOを含む
ATMセル処理部10、60とPOH処理部20、70
とポインタ処理部30、80とSOH処理部40、90
とフレーム処理部50、100とに各々構成される。
【0015】ATMセル処理部10、60の送信ATM
セル処理部10は送信ATMセルFIFOからセルを読
み出し、アイドルセル挿入、HEC挿入、ペイロードス
クランブルをしてC4―4cデータを構成する。受信A
TMセル処理部60は受信されたC4―4cデータから
HECを用いてセル境界識別をし、ペイロードスクラン
ブル及びアイドルセル除去を行った後、受信ATMセル
FIFOに書き込み機能を遂行する。
【0016】POH処理部20、70の送信POH処理
部20はPOHを生成して挿入し、受信POH処理部7
0においてはPOHを抽出して処理する機能を行う。
【0017】ポインタ処理部30、80の送信ポインタ
処理部30はVC4―4cの位置を示すポインタ値を生
成してフレームに挿入する機能を担当する。受信ポイン
タ処理部80はフレームからポインタ値を抽出、解釈し
てVC4―4cの位置を検出する機能を担当する。
【0018】SOH処理部40、90の送信SOH処理
部40はSOHを生成して挿入し、受信SOH処理部9
0はSOHを抽出して処理する機能を担当する。ここ
で、各機能ブロックに対してATMセルデータの流れに
したがって説明すると次のようになる。
【0019】ATMセル処理部10、60はPOH処理
部20、70各々から入力されるC4―4c区間信号に
よりイネーブル(enable)され動作する。ATMセル処
理部10、60内の送信ATMセルFIFO(不図示)
は4個の1セルFIFOにより構成され外部のATMセ
ル処理回路はユートピアインタフェースを通じて送信す
るFIFOに書き込むようにできている。
【0020】送信FIFOの制御回路はユートピアイン
タフェースのタイミングに合わせ4個のFIFOのうち
1個を選択するFIFO選択信号と書き込み番地を発生
させATMセルが順次に4個のFIFOに書き込まれる
ようにし各FIFOにおけるセルの蓄積状況を知らせる
フラグ(flag)を管理する。また、ユートピアの信号
(txfu11)を用いて送信FIFOがオーバフロー(over
flow)されないようにする。さらに、C4―4c区間に
おいて連続したセルの流れを作るためにFIFOフラグ
を監視しながら1個以上のFIFOに伝送されるセルが
ある場合、該当FIFOの選択とともに読み出し番地を
増加させセルデータをATMセル処理部に送り、伝送す
るセルがない場合は1個のセル時間の間idle‐conとい
う信号を使用(assert)してアイドルセルが挿入される
ように知らせる。
【0021】更に、セルデータ、idle‐con信号ととも
にATMセルのスタート点を知らせるセルスタート(S
OC;Start of Ce11)信号と一緒に送り、ATMセル
処理部の動作を同期させる。その後、ATMセル処理部
のタイミング信号はこのSOCにより同期されて発生す
る。ATMセル処理部はアイドルセルが挿入される位置
にアイドルセルを挿入し、全てのセルに対してHECを
計算して挿入し、セルペイロードに対してスクランブル
を遂行する。また、ATMセル処理部はPOH処理部に
おけるH4値の生成を促すためにATMセルのスタート
位置を知らせるSOC信号をPOH処理部に送る。
【0022】送信POH処理部20は送信ポインタ処理
部30から受けたVC4EN信号によりVC4―4c区
間においてのみイネーブルされて動作し、伝送されるV
C4―4c上においてC4―4c区間を示す信号を生成
してATMセル処理部に送りATM処理部をイネーブル
させる。送信POH処理部20はPOHを生成しVC4
―4cに該当する位置に挿入してATMセル処理部から
伝達された連続的なATMセルの流れであるC4―4c
データとともにVC4―4cを作る機能を遂行する。各
POHは直前に伝送されたVC4―4cデータと受信P
OH処理部70の状態、又はオーバヘッド挿入のための
外部直列インタフェースから得た値等を用いて生成す
る。このように生成されたVC4―4cはVC4―4c
のスタート位置を知らせるTXJ10FS信号とともに
VC4―4c区間の間にポインタ処理部に伝達される。
POH処理部において生成され挿入されるPOHはJ
1、B3、C2、G1、F2、H4、Z3、Z4、Z5
である。このように形成されたVC4―4cデータは4
個のSTM1ストリームに分割されてポインタ処理部に
伝達されTXJ10FS信号は分割されたデータに同期
される。
【0023】送信ポインタ処理部は送信部全体のマスタ
(master)として動作し、SOH処理部にはフレーム同
期信号を送りフレームのスタート位置を指定しPOH処
理部にはVC4―4c区間信号を送りPOH処理部をイ
ネーブルさせるこのとき送信ポインタの値を任意の値に
固定させるため付加的にVC4―4cのスタート位置を
命令する同期信号を送りPOH処理部のタイミングを初
期化し伝送フレームにおけるVC4―4cのスタート位
置を指定することもできる。ポインタ処理部はPOH処
理部からくるVC4―4cデータを伝送しフレームのポ
インタ位置(H1、H2)にポインタ値を挿入する。し
たがって、ポインタ処理部においてはAU4一4cを生
成する機能を遂行することになる。送信部においてはS
OH処理部、ポインタ処理部、ATMセル処理部全部が
同じクロックを使用するため(19.44MHzクロッ
クも77.76MHzを分周して生成)ポインタ値の変
更は発生しない。
【0024】SOH処理部はポインタ処理部から送られ
るフレーム同期信号に合わせて周期的にフレームを生成
しポインタ処理部においては伝達されたAU4―4cデ
ータ(VC4―4cデータと該当ポインタ値)をフレー
ムと一緒に伝送し各種のSOHを生成して該当位置に挿
入する。ポインタ処理部から伝達されたAU4―4cデ
ータはSOH処理部のフレームタイミングと同期されて
いるため別途のバッファを必要としない。STMのフレ
ームのSOHは直前に伝送されたフレームデータと受信
SOH処理部の状態と、外部直列インタフェースから得
た値を用いて作られSOH処理部において生成されて挿
入されるオーバヘッドバイトにはJO(C1)、B1、
E1、F1、D1、B2、K1、K2、D2、S1、M
1、E2である。このように処理された4個の並列デー
タストリームは送信フレームに行く前に再び1個の77
Mストリームに多重化される。B1の値は多重化された
状態において計算され多重化される前に挿入される送信
部フレームは送信フレームのA1、A2フレーミングバ
イトを挿入して全体のデータをフレーム同期スクランブ
ルする。
【0025】受信部においては、フレーム受信部が受信
される連続的なフレームの流れにおいてフレームパター
ンA1、A2を検出してSTMの始点位置を検出し、こ
れによって受信SOH処理部のタイミングを同期させ
る。受信されたフレームデータはフレーム同期デスクラ
ンブルされた後、19.44MHz速度の4個のSTM
1の並列データに分離され、SOH処理部に送られるこ
とになり、ここからは送信部と同じく4個の19Mスト
リームに対して一つのタイミング制御部から発生された
タイミング信号が共通に適用されて処理される。
【0026】STM4―4cの場合、B2バイトは4個
のストリームに対して各々計算、比較され、M1バイト
は3番目のストリームにおいて抽出される。大部分のオ
ーバヘッドは最初のストリームにのみ位置するため、タ
イミング信号は該当するストリームに対してだけ適用さ
れる。 SOH処理部は受信されたSTMフレームの各
種オーバヘッドをタイミングにしたがって抽出して処理
し、一部SOHは外部直列インタフェースを通じて出力
する。このように処理されたフレームデータはポインタ
処理のため受信ポインタ処理部に伝達され、このとき上
記のフレームデータは受信されたデータとともにフレー
ムスタート位置信号を伝達しポインタ処理部のタイミン
グを同期させる。SOH処理部においては送信部と同様
にJO(C1)B1、E1、F1、D1、B2、K1、
K2、D2、S1、M1、E2の情報を処理する。
【0027】受信ポインタ処理部80はSTM処理部か
ら送られる同期信号にしたがって内部のタイミング発生
部を同期させ、ポインタ処理に必要な各種タイミング信
号を発生させる。ポインタ処理部は受信されたSTMフ
レームデータからポインタを抽出した後処理して、VC
4―4cのスタート位置を検出しPOH処理部には受信
されたフレームデータとともにVC4―4cの区間を示
すVC4EN信号とVC4―4cのスタート位置信号で
あるRXJIOFS信号を送る。ポインタ処理部におい
て処理できることはポインタの増加(Iビット反転)、
減少(Dビット反転)と新しいポインタの受信(NDF
設定)、3回連続の正常ポインタ受信である。各ポイン
タの処理結果によりVC4EN信号とRXJIOFS信
号を調節して受信POH処理部に伝達する。
【0028】4個の並列データのストリームはPOH処
理部から伝達され再び多重化されて一つの77Mストリ
ームが作られ、VC4EN信号とRXJ10FS信号も
これにより再び調節されることになる。受信POH処理
部はポインタ処理部からくるVC4EN信号によりVC
4―4c区間においてのみイネーブルされて動作し、V
C4一4cの始点位置信号であるRXJ10FS信号に
よりタイミングを同期させ、このタイミング信号により
各種POHを抽出して処理する。さらにATMセル処理
部には受信されたVC4―4c区間においてC4―4c
に該当する部分のデータとともにC4一4cの区間信号
であるC4EN信号を受信ATMセル処理部に送る。こ
のときPOHのH4より得たセルのスタート情報をAT
Mセル処理部に一緒に送り、セル処理部においてHEC
を用いたセル境界識別の結果と符合するかを確認するこ
とができるようにする。受信POH処理部において処理
するオーバヘッドはJ1、B3、C2、G1、F2、H
4、Z3、Z4、Z5である。
【0029】受信ATMセル処理部60は受信POH処
理部70から送られるC4EN信号によりイネーブルさ
れC4―4c区間においてのみ動作しHECを用いたセ
ル境界識別を遂行してC4―4cストリームにおけるセ
ルの境界を識別する。この過程において1ビットHEC
誤りを訂正することができ2ビット以上の誤りを検出す
ることができる。セル境界を得たあとはペイロードに対
しデスクランブルをしてヘッダを検査しアイドルセルの
場合は選択的に廃棄するようにする。このようにして得
たATMセルの流れは受信FIFOに蓄積されるか否か
を示す書き込みイネーブル(write-enable)信号ととも
に受信ATMセルFIFOに伝達される。受信ATMセ
ルFIFOには4個のFIFOがあり、書き込みイネー
ブルされている場合、順次に空いているFIFOを選択
して書き込み番地を増加して該当するFIFOにATM
セルを蓄積する。一つ以上のFIFOにATMセルが受
信されている場合、ユートピアインタフェースのrxe
mpty信号を通じて上位のATMセル処理部にこの事
実を知らせATMセルを読み出すようにする。
【0030】本発明においては回路の動作速度を下げる
ためにATM処理部は77.76MHzで動作させ一部
77.76MHzにおけるバイト単位処理が不可避であ
るB3計算、B1処理、フレーム(デ)スクランブル、
フレーム受信器の機能等を除外した大部分のPOH処理
部、ポインタ処理部、SOH処理部が19.44MHz
において動作するようになっている。各ブロック間の同
期に使用される信号は19.44MHzクロックに同期
されている。またPOH処理部とポインタ処理部間の多
重化、逆多重化更に、SOH処理部とフレーム送受信部
間の多重化、逆多重化において各コラムデータの位相を
合わせるため図2(A)のように77.76Mhzのク
ロックに対し各コラムの位置を示す信号(デコ;deco)
が用いられる。したがって送受信部に各々77.76M
Hzと19.44MHzクロックが存在しこの19.4
4MHzクロックは77.76MHzクロックを分周し
て生成され2個のクロックの上昇エッジ(rising edg
e)と一致するように調節されている。実際にはこのよ
うな位相(phase)関係を保証すると同時に2個のクロ
ック間のスキュー(skew)を考慮してデータと制御信号
が安全に伝達されるように追加してネガテイブエッジフ
リップ/フロップ(negative edge F/F)回路又はラ
ッチ(1atch)回路が用いられる。
【0031】本発明においては各ブロック内部のタイミ
ング信号と各ブロック間の主要同期信号が9×270構
造を有するSTM1を基準として発生するためデータが
4個のストリームに分かれて伝達されるときも19.4
4MHzクロックを基準として伝達され19Mストリー
ムと77Mストリーム間の変換による処理遅延時間(1a
tency time)が同期用制御信号に調節される。
【0032】図2(A)および図2(B)においては送
受信部において多重化、逆多重化を遂行する際のクロッ
クデータの関係を示す。
【0033】本発明は上位のATM機能を担当する回路
とは16ビットユートピア形式でセルをやりとりするた
め内部のFIFOも27×16ビット単位が基本になっ
ている。しかし、実際にC4―4cにセルが収容される
ときは54バイトでなく53バイトのATMセルが連続
的に位置しなければならないため送信部においては16
ビットユートピア形式のUDFを除去する機能が必要で
あり受信部においてはこのUDFを挿入する機能が必要
とされる。図2(B)には16ビットユートピアの形式
を示している。
【0034】送信ATMセル処理部はATMセルFIF
Oにより53クロックを周期とするセルスタート(C
S:Cell Start)信号を出すようになっており送信FI
FO43はこのCSの時点で4個のフラグ値を見てFI
FOに1個以上のセルが存在するかを判断し、存在する
場合該当FIFOを選択して読み出し番地を増加するよ
うになっている。この番地の増加により該当するセルの
データがセル処理部に順次に伝達されることになる。送
信FIFO43にセルが無い場合はアイドルセルが挿入
されることを示すidle‐con信号を活性化(assert)す
る。しかし、FIFOのデータが表2に図示されている
ように16ビットユートピアの形式を有しているためH
ECとともに入ってくるUDFを除去して標準セルの形
態に変換する機能が必要である。
【0035】16ビットユートピア形式のデータから標
準ATMセルのデータを作るためセルのスタート点から
図3の如く二つのクロックに1回読み出し番地を増加さ
せ一つの番地からでたデータの上位バイトと下位バイト
を順次に選択するがHECがあるワードにおいてだけ読
み出し番地を一つのクロックに増加させて上位のバイト
を選択してUDFを省略すればよい。
【0036】
【表2】
【0037】16ビットユートピアのセルフォーマット
形式
【0038】図4においてCS信号はセルのスタート位
置を示しこの信号で読み出し番地カウンタ41を0にロ
ード(load)することになる。このため読み出し番地を
示す27カウンタを備えカウンタの増加条件と読み込み
データマルチプレックス(MUX)42の上/下位選択
信号を作るため簡単なステートマシン(statemachine)
を使用すればよい。またセルが連続して送信される場合
読み出し番地が即時に0から新しくスタートできるよう
にしなければならない。
【0039】受信STMセル処理部はFIFOに書き込
むセルが到着したとき受信されたセルデータとともに書
き込みイネーブル信号とSOC信号を受信FIFO55
側に与え受信FIFO55が16ビットユートピア形式
をもつため標準ATMセルの流れを16ビットユートピ
アの形式に変換してFIFOに書き込み機能が必要にな
る。この機能は16ビットのワード(word)を作るため
ラッチ(latch)を2個備え入力される8ビットデータ
を上位ラッチ53と下位ラッチ54に順次にラッチする
がHEC上位にラッチされるときはUDFデータを下位
ラッチ54に同時に書き込めばよい。このようにFIF
Oに書き込む16ビットデータが作られたら書き込み番
地とともに書き込みイネーブル信号を与えてFIFOに
書き込まれるようにする。このため27カウンタ51を
備えSOCを利用してアドレスカウンタ(address coun
ter)を0にロードしたあと増加させ、カウンタ増加条
件と16ビットを作るためのラッチの上/下位選択信号
を作るため簡単なステートマシンを使用すればよい。
【0040】上記図1の各ブロック制御部15、25、
35、45、65、75、85、95は上述したように
9×270を基本としており、その基本構成は図7のよ
うに周期的に必要なタイミングパルスを発生するタイミ
ング周期信号発生部61とタイミング周期を利用して実
際必要な各種制御回路(例えばオーバヘッド選択信号ま
たはB2レジスタクリア信号)を作る制御信号発生部6
2に分割される。ところが、このようなSTM処理をす
る回路は大部分の機能がフレーム単位で行われるため
(例えばフレーム受信部からフレームパターンを検出し
インフレーム(in-frame)にいくためにはフレームパタ
ーンが2回連続して検出されねばならない。このときK
2のビット6、7、8が111の状態が3フレームの間
持続されればMS―AISが宣言される。)大部分の機
能を確認するためにはSTM1の時、フレーム当たり9
×270クロックが必要であり本発明のようにSTM4
の場合にはフレーム当たり9×270×4クロックが必
要である。したがって、全体ブロックのタイミング発生
回路を修正して特定のテストモードでは9×270の構
造でない9×30の縮小されたフレーム形態で動作する
ようにすればチップのテスト時間を減少することができ
ることは勿論開発期間中にもシミュレーション時間を短
縮することができる。このようにするため各タイミング
周期発生回路を9×30のフレーム形態に合うように変
換できなければならない。そうすると9倍少ない数のク
ロック17(テストベクトル)で同一の機能を確認する
ことができるため時間が9倍短縮される。これを可能に
するためには各ブロックにおけるタイミング周期信号発
生部61は特定モードにおいて9倍縮小した形態でカウ
ントすることができる構造になっていることが必要であ
る。
【0041】図8は9×30テストモードにおいて各ブ
ロックのタイミング発生部を説明するため例示したSO
H処理部のタイミング発生部のブロック図である。ここ
では各ブロックに対してその制御回路のタイミング発生
部の構造に対して説明する。
【0042】まずSOH処理部のタイミング周期信号発
生部61に対して説明する。図8はSOH処理部のカウ
ンタ構成を表しここではカウンタを3×10×3×3×
3×3の形態に構成しSTM処理に必要な各タイミング
信号を作り出す。各カウンタの段(stage)においては
所望するサイクルでカウントすることができるようにロ
ードするデータとして適当な値が固定されており、各段
のCO(Carry Out)により自己の段がロードされ、ま
た前段のCOが後段のCEとして使用されるため前段が
その最後の値に到達したときに限って後段が1づつ増加
されて所望する形態のパルス(pulse)を作り出すこと
ができる。この回路においては8k、24k、72k、
216k、648kの信号を作り出し、8kはフレーム
のスタート点を指示し、24kは毎ロー(row)のスタ
ート点を、72kはすべてのローのスタート点を、21
6kはすべてのローを3等分した時点を、648kはす
べてのローを9等分した時点を表すSOH処理部の制御
信号発生部62はこのような信号を使用して実際に各種
オーバヘッドを処理することに必要なタイミング信号を
発生する。本発明においては9×30テストモードにお
いてこのタイミング発生回路を9倍早く動作させるため
中間の3×3の部分をバイパスするようにしている。こ
のようにすると8k信号が9倍多い頻度で発生すること
になり(実際に8kが72kになる)72k信号も9倍
多い頻度で発生することになる。さらに、STM1の3
個のコラムを区分する信号は変わることなく出てくるた
め8kと72k信号を利用して制御信号発生部62で作
る信号は元のように発生するが9倍の18頻度で発生す
る。
【0043】ポインタ処理部はSOH処理部とは異なり
直列入出力に必要なタイミング信号が必要でなく3×1
0×9×9の構造となっているため8k、72kの信号
を発生するがここでポインタの処理に必要なタイミング
信号(ポインタの位置とコラム区分信号)を発生する。
ここでも9×30テストモードにおいて中間の9カウン
タをバイパスするようにして9×30を縮小した形態の
フレームに合うような制御信号を得ることができる。同
様にPOH処理部は単に1から2349まで(9×26
1=2349)カウントするようになっているがPOH
の位置に該当する各ローのスタート位置情報は1、26
2、523、..をデコードするようになっているがテ
ストモードにおいてはVC4の構造が9×21であるた
め1から189までカウントするようにしロー(row)
のスタートをデコードするとき122、43、..をデ
コードすればよい。また、受信部のフレーム処理部には
連続したフレームパターンを比較するため単に次のフレ
ームのスタート点だけわかればよいため9×270×4
=9720までカウントできるカウンタを備えテストモ
ードにおいては周期を9×30×4=1080になるよ
うにした。ATMセル処理部は53カウンタを備えてお
りタイミング信号を発生するにあたりATMセル処理部
に送られるC4EN信号が変わるためATMセル処理部
は変えなくてもよい。
【0044】このように各ブロックにおいて中核部分で
あるタイミング発生部において9カウント部分を省略す
るようにすると各ブロックの制御信号発生部62におい
てはタイミング周期信号発生部61から送られる信号だ
けにより必要な制御信号を発生させるため各ブロック間
の相関関係をそのまま維持しながら全体回路を9×30
モードで動作させることができる。この9×30モード
におけるフレームの形は図9と同様でありオーバヘッド
は変わらない。
【0045】
【発明の効果】本発明はITU―Tの1.432の規格
によりATMセルを622MbpsのSTM4―4cま
たはSTS―12cフレームを介して伝送、受信するA
TMセル物理階層処理回路を作製するものであり、使用
者の便宜のため上位にはATMフォロムの16ビットユ
ートピアインタフエースを提供し、ATMセル処理は7
7.76MHzにおいてバイト単位で処理し、STM処
理等残りの部分は19M速度で4個のバイトストリーム
で並列処理することによりATMセル物理階層処理回路
の動作速度を増加して容易にATMセル物理階層処理回
路を実現することができる。
【0046】さらに、本発明は155Mbps又は62
2MbpsのSTM基盤ATMセル物理階層回路におい
て、大部分の機能がフレーム単位であるためシミュレー
ションと実際の動作のような入力を与えるチップのテス
トにあまりにも多くの時間がかかることを避けるため各
ブロックのタイミング発生回路を修正して特定テストモ
ードにおいてはフレームの形式が9×270でない9×
30になるようにすることにより、9倍短い時間(又は
テストベクトルの数)内に大部分の機能を確認すること
ができる。
【図面の簡単な説明】
【図1】本発明によるATMセル物理階層回路を示すブ
ロック図である。
【図2】送受信部において多重化、逆多重化を遂行する
際のクロックとデータの関係を示す概略図である。
【図3】送信部の16ビットから8ビットへの変換を示
すタイミング図である。
【図4】送信部の16ビットから8ビットへの変換部を
示すブロック図である。
【図5】送信部の16ビットから8ビットへの変換を示
すタイミング図である。
【図6】送信部の16ビットから8ビットへの変換部を
示すブロック図である。
【図7】各ブロックにおける制御部回路を示す構成図で
ある。
【図8】9×30テストモードにおいて各ブロックのタ
イミング発生部を説明するため例示したSOH処理部の
タイミング発生部を示すブロック図である。
【図9】9×30テストモードにおいてのフレーム形式
を示す概略図である。
【図10】同期伝送モジュール(STM4―4c)フレ
ームの形式を示す概略図である。
【符号の説明】
10 送信ATMセル処理部 20 送信POH処理部 30 送信ポインタ処理部 40 送信SOH処理部 50 フレーム送信部 60 受信ATMセル処理部 70 受信POH処理部 80 受信ポインタ処理部 90 受信SOH処理部 100 フレーム送信部 15、25、35、45、65、75、85、95 制
御部
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ジャエ・ケウン・キム 大韓民國 大田廣域市 儒城區 新城洞 ハンウルアパートメント 109棟 902号

Claims (8)

    【特許請求の範囲】
  1. 【請求項1】 送信ATMセルFIFOにおいてセルを
    読み出し、アイドルセルを挿入し、HECを挿入し、ペ
    イロードスクランブルをし、C4―4cデータを構成す
    る送信ATMセル処理部と、 受信されたC4―4cデータからHECを用いたセル境
    界を識別し、ペイロードデスクランブルとアイドルセル
    とを除去した後、受信ATMセルFIFOに書き込み機
    能を遂行する受信ATMセル処理部と、 パスオーバヘッドを生成し、挿入し、VC4―4cを生
    成する送信パスオーバヘッド処理部と、 受信されたVC4―4cデータからパスオーバヘッドを
    抽出し、処理する機能を遂行する受信パスオーバヘッド
    処理部と、 VC4―4cの位置を示すポインタ値を生成し、フレー
    ムに挿入する機能を担当する送信ポインタ処理部と、 受信されたフレームデータにおいてポインタ値を抽出
    し、解釈し、VC4―4cの位置を検出する機能を担当
    する受信ポインタ処理部と、 セクションオーバヘッドを生成し、挿入し、フレームを
    生成する送信セクションオーバヘッド処理部と、受信さ
    れたフレームデータからセクションオーバヘッドを抽出
    し、処理する機能を担当する受信セクションオーバヘッ
    ド処理部と、前記送信部及び受信部においてフレームを
    処理する送受信フレーム処理部と、 前記送信ATMセル処理部、前記受信ATMセル処理
    部、前記送信パスオーバヘッド処理部、前記受信パスオ
    ーバヘッド処理部、前記送信ポインタ処理部、前記受信
    ポインタ処理部、前記送信セクションオーバヘッド処理
    部、前記受信セクションオーバヘッド処理部及び前記送
    受信フレーム処理部を各々制御する多数の制御部とによ
    り構成されることを特徴とするSTM基盤ATMセル物
    理階層処理回路。
  2. 【請求項2】 前記送信ATMセル処理部がセルのスタ
    ート点から2クロックに1回読み出し番地を増加し、1
    個の番地から出力されたデータの上位バイトと下位バイ
    トを順次に選択するが、HECがあるワードにおいての
    み読み出し番地を1クロック増加し、上位バイトを選択
    してUDFを省略するようにして16ビットユートピア
    形式のデータにおいて8ビットの標準ATMセルのデー
    タを変換するように構成することを特徴とする請求項1
    に記載のSTM基盤ATMセル物理階層処理回路。
  3. 【請求項3】 前記受信ATMセル処理部がATM階層
    とのインタフェースとして16ビットユートピアインタ
    フェースを有し、内部のFIFO構造が27×16を基
    本とし、受信されたバイトストリームを受けて上下位ラ
    ッチの選択信号とFIFOの書き込み番地を調節して1
    6ビットユートピア形式に変換してFIFOに蓄積され
    るように構成することを特徴とする請求項1に記載のS
    TM基盤ATMセル物理階層処理回路。
  4. 【請求項4】 前記送受信パスオーバヘッド処理部が前
    記送受信ATMセル処理部にクロックイネーブル信号を
    供給するように構成することを特徴とする請求項1に記
    載のSTM基盤ATMセル物理階層処理回路。
  5. 【請求項5】 前記送受信ポインタ処理部が前記送受信
    パスオーバヘッド処理部にクロックイネーブル信号を供
    給するように構成することを特徴とする請求項1に記載
    のSTM基盤ATMセル物理階層処理回路。
  6. 【請求項6】 前記多数の制御部が周期的に必要なタイ
    ミングパルスを発生するタイミング周期信号発生部と、 タイミング周期を用いて実際必要な制御信号を出力する
    ようにする制御信号発生部とにより構成することを特徴
    とする請求項1に記載のSTM基盤ATMセル物理階層
    処理回路。
  7. 【請求項7】 前記タイミング周期信号発生部が9×2
    70の基本モードと9×30の基本モードをもつように
    構成することを特徴とする請求項6に記載のSTM基盤
    ATMセル物理階層処理回路。
  8. 【請求項8】 前記タイミング周期信号発生部がセクシ
    ョンオーバヘッド処理部のタイミング発生部は3×10
    ×3×3×3×3に、ポインタ処理部のタイミング発生
    部は3×10×9×9に、パスオーバヘッド処理部のタ
    イミング発生部は9×270に各々構成されることを特
    徴とする請求項6に記載のSTM基盤ATMセル物理階
    層処理回路。
JP20649497A 1996-08-09 1997-07-31 Stm基盤atmセル物理階層処理回路 Expired - Fee Related JP3290384B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR96-33173 1996-08-09
KR1019960033173A KR0169247B1 (ko) 1996-08-09 1996-08-09 에스티엠 기반 에이티엠 셀 물리계층 처리회로

Publications (2)

Publication Number Publication Date
JPH1093587A true JPH1093587A (ja) 1998-04-10
JP3290384B2 JP3290384B2 (ja) 2002-06-10

Family

ID=19469187

Family Applications (1)

Application Number Title Priority Date Filing Date
JP20649497A Expired - Fee Related JP3290384B2 (ja) 1996-08-09 1997-07-31 Stm基盤atmセル物理階層処理回路

Country Status (3)

Country Link
US (1) US5978377A (ja)
JP (1) JP3290384B2 (ja)
KR (1) KR0169247B1 (ja)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9718831D0 (en) * 1997-09-05 1997-11-12 Plessey Telecomm Data transmission in an sdh network
JP3911655B2 (ja) * 1998-03-16 2007-05-09 富士通株式会社 Atm装置
KR100258764B1 (ko) * 1998-03-24 2000-06-15 윤종용 에이티엠 계층과 물리 계층간의 셀전송 장치및 방법
US6895018B1 (en) * 1998-07-28 2005-05-17 Nortel Networks Limited Method and system for improved sonet data communications channel
US6765928B1 (en) 1998-09-02 2004-07-20 Cisco Technology, Inc. Method and apparatus for transceiving multiple services data simultaneously over SONET/SDH
US6917630B1 (en) 1998-10-06 2005-07-12 Nortel Networks Limited Concatenation of containers in synchronous digital hierarchy network
US6775302B1 (en) 1998-12-14 2004-08-10 Agere Systems Inc. Communications system with symmetrical interfaces and associated methods
US6678842B1 (en) 1998-12-14 2004-01-13 Agere Systems Inc. Communications system and associated deskewing methods
US6675327B1 (en) 1998-12-14 2004-01-06 Agere Systems Inc. Communications system including lower rate parallel electronics with skew compensation and associated methods
US6909727B1 (en) 1998-12-14 2005-06-21 Agere Systems Inc. Communications system and associated methods with out-of-band control
US6892172B1 (en) * 2000-02-16 2005-05-10 Raj Kumar Singh Customizable simulation model of an ATM/SONET framer for system level verification and performance characterization
JP3516634B2 (ja) * 2000-05-30 2004-04-05 日本電気株式会社 サーキットエミュレーション装置及びサーキットエミュレーション装置における多重化方法
KR100364744B1 (ko) * 2000-12-19 2002-12-16 엘지전자 주식회사 동기전송모듈(stm) 링크 포트 이중화 장치
US20020085590A1 (en) * 2000-12-29 2002-07-04 Booth Bradley J. Method and apparatus for inserting user data into sonet data communications channel
DE60218240T2 (de) * 2001-04-18 2007-11-22 Olympus Corporation Chirurgisches Instrument
US7362759B2 (en) * 2001-05-21 2008-04-22 Intel Corporation Method and apparatus for encoding information
US7158517B2 (en) * 2001-05-21 2007-01-02 Intel Corporation Method and apparatus for frame-based protocol processing
JP4165044B2 (ja) * 2001-07-23 2008-10-15 沖電気工業株式会社 セル分解装置
KR100490898B1 (ko) * 2002-07-12 2005-05-24 한국전자통신연구원 에이티엠적응계층1에서의 구조화 데이터 전달을 위한포인터 생성장치 및 그 방법
CN1300686C (zh) * 2004-07-20 2007-02-14 华为技术有限公司 一种sdh类逻辑仿真激励数据缓存方法
US20060067314A1 (en) * 2004-09-29 2006-03-30 Michael Ho Overhead processing and generation techniques
JP4500154B2 (ja) * 2004-11-08 2010-07-14 富士通株式会社 フレーム伝送装置およびフレーム受信装置
KR100766603B1 (ko) * 2006-06-14 2007-10-11 주식회사 케이티프리텔 에이티엠 셀 변환 장치 및 그 변환 방법
JPWO2012133635A1 (ja) * 2011-03-30 2014-07-28 日本電気株式会社 中継装置、中継方法、および中継処理プログラム

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ES2100797B1 (es) * 1994-04-11 1997-12-01 Telefonica Nacional Espana Co Terminador de red con modo de transferencia asincrono.

Also Published As

Publication number Publication date
US5978377A (en) 1999-11-02
KR19980014261A (ko) 1998-05-25
JP3290384B2 (ja) 2002-06-10
KR0169247B1 (ko) 1999-02-01

Similar Documents

Publication Publication Date Title
JP3290384B2 (ja) Stm基盤atmセル物理階層処理回路
CA2047891C (en) Routing system capable of effectively processing routing information
US6188693B1 (en) ATM multiplexing apparatus, ATM demultiplexing apparatus, and communication network with the apparatus
US6631130B1 (en) Method and apparatus for switching ATM, TDM, and packet data through a single communications switch while maintaining TDM timing
US6646983B1 (en) Network switch which supports TDM, ATM, and variable length packet traffic and includes automatic fault/congestion correction
JP3864258B2 (ja) データ伝送方法および装置
US20090141719A1 (en) Transmitting data through commuincation switch
US6636515B1 (en) Method for switching ATM, TDM, and packet data through a single communications switch
US7061935B1 (en) Method and apparatus for arbitrating bandwidth in a communications switch
US7245641B2 (en) Variable length packet switching system
JP4083010B2 (ja) 単一の通信スイッチを経てatm、tdm及びパケットデータを交換するための方法及び装置
US6636511B1 (en) Method of multicasting data through a communications switch
JPH1028102A (ja) Sdh伝送方式におけるポインタ処理装置
US7031351B2 (en) Serial data mapping apparatus for synchronous digital hierarchy
US7016357B1 (en) Methods and apparatus for arbitrary concatenation in a switch
KR100271311B1 (ko) 광가입자 전송장치에서의 관리단위신호에 대한 관리단위 포인터및 계위단위 포인터 처리장치
KR960016250A (ko) Atm 물리 계층 가입자 액세스 처리기
JPH07212338A (ja) ポインタ処理回路
JPH0758753A (ja) インタフェース回路
KR0154490B1 (ko) 광대역 통신의 에이티엠 셀 변환 장치
JPH07162433A (ja) 速度変換方式
JP2001053704A (ja) 光伝送装置
JPH0955745A (ja) Atmセルのデセル化方法及び装置
JPH11205341A (ja) Atmセル化回路
JPH0646021A (ja) 同期光多重化装置

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20020306

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080322

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090322

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100322

Year of fee payment: 8

LAPS Cancellation because of no payment of annual fees