JPH08340113A - 集積回路において平坦化構造を形成する方法 - Google Patents

集積回路において平坦化構造を形成する方法

Info

Publication number
JPH08340113A
JPH08340113A JP8128762A JP12876296A JPH08340113A JP H08340113 A JPH08340113 A JP H08340113A JP 8128762 A JP8128762 A JP 8128762A JP 12876296 A JP12876296 A JP 12876296A JP H08340113 A JPH08340113 A JP H08340113A
Authority
JP
Japan
Prior art keywords
polysilicon
forming
layer
substrate
field oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP8128762A
Other languages
English (en)
Inventor
Kuei-Wu Huang
ファン クエイ−ウ
Tsiu C Chan
シー. チャン ツィウ
Gregory C Smith
シー. スミス グレゴリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
STMicroelectronics lnc USA
Original Assignee
SGS Thomson Microelectronics Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SGS Thomson Microelectronics Inc filed Critical SGS Thomson Microelectronics Inc
Publication of JPH08340113A publication Critical patent/JPH08340113A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】 半導体集積回路の平坦性を改善した構成体を
製造する方法及びそれにより製造された集積回路を提供
する。 【解決手段】 集積回路の上にフィールド酸化膜(1
2)を成長させ、パターン形成し且つエッチングして活
性区域を形成するフィールド酸化膜の下側に位置する基
板(10)の上部表面の一部を露出させる実質的に垂直
な側壁を具備する開口(17)を形成する。ポリシリコ
ンゲート電極(18)及びゲート酸化膜(16)を有す
るゲート電極を基板(10)の露出部分の上に形成す
る。ポリシリコンゲートは、その上部表面が基板(1
0)上方又はフィールド酸化膜(12)の上部表面の上
方に位置した上部表面を有している。ゲート電極は、好
適には、ポリシリコン(18)の上にシリサイド(2
8)及びシリサイドの上に酸化物キャッピング層(3
0)を有している。ゲート電極に隣接して基板(10)
内にLDD領域(36)を形成し且つゲート電極の側部
に沿って側壁スペーサ(34)を形成する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、大略、半導体集積
回路及びその製造方法に関するものであって、更に詳細
には、集積回路においてより平坦化した構造を形成する
改良した方法及びその結果得られる構成体に関するもの
である。
【0002】
【従来の技術】集積回路設計、レイアウト及び製造の分
野において公知の如く、与えられた集積回路の製造コス
トは所望の機能を実現するのに必要とされるチップ面積
にその多くが依存している。チップ面積は、例えば金属
−酸化物−半導体(MOS)技術におけるゲート電極等
の活性構成要素、及び例えばMOSソース領域及びドレ
イン領域及びバイポーラエミッタ領域及びベース領域等
の拡散領域の幾何学的形状及び寸法によって画定され
る。
【0003】装置構成体は、常に、より高い応答速度、
より高い装置歩留まり及び信頼性、より低い電力消費及
びより高い電力取扱い能力を与えることを目的として提
案されている。多くの装置の改良は装置のスケールダウ
ン即ち小型化によって達成される。1つのアプローチ
は、全ての処理変数、寸法及び電圧を単にスケールダウ
ンすることである。このアプローチは、例えば、典型的
なMOS装置の場合には、誘電体厚さ、チャンネル長及
び幅、接合幅及びドーピングレベルをスケーリングする
ことを包含している。このアプローチの場合には、単位
面積当たりの装置数が増加し、スレッシュホールド電圧
が減少し、チャンネルを横断しての遅延時間が減少し且
つ面積当たりに散逸されるパワー即ち電力が減少する。
然しながら、全ての装置パラメータが同一の定数によっ
てスケーリングされることが必要なものではない。設計
又はプロセスエンジニアは、装置性能を最適化させるよ
うに他のものとは独立的に幾つかの装置パラメータをス
ケーリングする場合がある。このより柔軟性のあるアプ
ローチは、より厳格なスケーリングアプローチを選択す
る代わりに、装置を最適化させるために種々のトレード
オフに合致するように幾何学的形状を選択することを可
能としている。
【0004】活性構成要素の幾何学的形状及び寸法及び
処理変数をスケーリングする能力に加えて、チップ面積
は、更に、使用される分離技術に依存する。リーク電流
及び低フィールド装置スレッシュホールド電圧が機能上
又は仕様上の欠陥を発生させることがないように、活性
回路要素間に十分な電気的分離が与えられねばならな
い。ますます厳しくなる仕様条件と共に、例えばより高
密度のメモリアレイにおけるより小型のメモリセルに対
する要求によって、メモリ装置及びその他の最近の集積
回路における分離技術にかなりのプレッシャがかかって
いる。
【0005】公知の広く使用されている分離技術は通常
LOCOSと呼ばれるシリコンの局所的酸化技術であ
り、それは集積回路の種々の活性区域を分離するフィー
ルド酸化膜領域を形成するものである。このLOCOS
プロセスは分離領域のために必要とされる区域を減少さ
せ且つ寄生容量を減少させる上で偉大な技術的改良であ
った。この技術においては、付着形成したポリシリコン
上に窒化シリコンを形成し、その窒化シリコンとポリシ
リコンとをパターン形成し、次いでパターンによって被
覆されていない領域をエッチング除去する。次いで、露
出された領域を酸化させてフィールド酸化膜を形成す
る。然しながら、このプロセスは例えば「バードビー
ク」として知られる活性区域内への酸化膜の横方向エン
クローチメント(侵入)等の公知の欠点を有しており、
その場合に、酸素がポリシリコン/窒化膜マスクの下側
をシリコン内へ横方向に拡散する。このバードビークは
分離区域を増加させ、その際に装置のために仕様可能な
活性区域を減少させる。その他の欠点としては、集積回
路表面に加えられる付加的なトポグラフィ即ち地形的特
徴及び「クーイ(Kooi)」効果として知られている
シリコン基板とシリコン酸化膜領域の界面に沿って形成
される不所望の窒化物スポットがある。フィールド酸化
膜を形成した後に形成される熱成長されたゲート酸化膜
はこれらの窒化物スポットの領域において障害となる。
通常、1985年11月19日付で発行された米国特許
第4,553,314号(Chan et al.)に
より詳細に記載されているように、公知の犠牲酸化膜プ
ロセスの場合のように、これらの窒化物スポットはゲー
ト酸化膜を形成する前に除去される。然しながら、窒化
物スポットを除去するプロセスは複雑性を増加し、した
がって製造コストを増加させ且つウエハへ付加的なトポ
グラフィを付加して後の段階においてのステップカバレ
ッジ即ち段差被覆の問題を発生させる。
【0006】別の公知の分離技術は、基板の表面上に二
酸化シリコンを付着形成し、そのフィールド酸化膜をパ
ターン形成し且つエッチングして活性領域を露出させ、
所望の分離領域に酸化膜を残存させることによって標準
的なフィールド酸化膜を形成するものである。このアプ
ローチにも公知の欠点がある。エッチングステップは急
峻な側壁を発生させ、後に形成される層に対してのステ
ップカバレッジ問題を発生する。フィールド酸化膜のこ
の急峻な側壁をテーパ形状とするか又は丸めるための処
理が提案されているが、再現性に欠ける場合がある。第
一ポリシリコン層からゲート電極が形成されるべき活性
領域において、ポリシリコンのコンフォーマル即ち適合
的な性質が、分離領域間のシリコン基板を露出させるた
めにエッチングした場合に急峻なフィールド酸化膜側壁
に沿って不所望なポリシリコンスティックを発生させ
る。該スティックは付着形成したポリシリコンのコンフ
ォーマル特性及び側壁の高さに起因して基板表面におけ
るフィールド酸化膜に隣接してエッチングした後に残存
するポリシリコンのストリップである。更に、エッチン
グした領域の幅が不所望に増加する場合があり、ダイ上
の活性区域の数を減少させ、その際に形成することの可
能な装置数を減少させる場合がある。半導体業界は、よ
り高い密度の構成体を製造するためにますます小型の特
徴寸法とすることに努力を払っている。この目標の当然
の結果は平坦な構成体を得ることである。したがって、
より高い密度の構成体を製造するために標準的な処理ス
テップを使用する平坦化した表面を有することが望まし
い。
【0007】
【発明が解決しようとする課題】本発明は、半導体装置
をスケーリングするための平坦化した構成体を製造する
方法を提供することを目的とする。
【0008】本発明の別の目的とするところは、ウエハ
の表面の平坦性を向上させそれにより後のステップカバ
レッジ問題を最小とさせる改良したトランジスタの製造
方法を提供することである。
【0009】本発明の更に別の目的とするところは、装
置のスケーリング及び平坦性を改良するためのスタンダ
ードなフィールド酸化膜を提供することである。
【0010】本発明の更に別の目的とするところは、著
しく少ない数の後の処理ステップを必要としその際に製
造上の複雑性を緩和し且つより高い歩留まり及び信頼性
を与える分離領域に隣接して装置を製造する方法を提供
することである。
【0011】本発明の更に別の目的とするところは、ポ
リシリコンスティックの形成を減少させる方法を提供す
ることである。
【0012】本発明の更に別の目的とするところは、改
良した半導体集積回路を提供することである。
【0013】
【課題を解決するための手段】本発明は、半導体装置製
造方法及びその際に製造される半導体装置構成体に組込
むことが可能である。集積回路上にフィールド酸化膜を
成長させ、パターン形成し且つエッチングして活性区域
を形成する箇所のフィールド酸化膜下側に存在する基板
の上部表面の一部を露出させる実質的に垂直な側壁を具
備する開口を形成する。基板の露出部分の上にゲート酸
化膜を成長させる。ポリシリコンの上部表面の最も低い
部分がフィールド酸化膜の上部表面の上方となるような
厚さにポリシリコン層をフィールド酸化膜及びゲート酸
化膜の上に付着形成させる。ポリシリコン層を平坦化さ
せ且つエッチングする。好適には、ポリシリコン層の上
にシリサイド層を形成し、且つシリサイド層の上に誘電
体キャッピング層を形成する。少なくともフィールド酸
化膜によって被覆されていない基板の一部の上側に位置
するキャッピング層の一部の上にホトレジストマスクを
形成する。キャッピング層と、シリサイドと、ポリシリ
コン層と、ゲート酸化膜とをエッチングしてトランジス
タゲート電極を形成する。ホトレジストを除去し且つゲ
ート電極に隣接して基板内にLDD領域を形成する。ゲ
ート電極の側部に沿って側壁スペーサを形成する。好適
実施例においては、装置の平坦性を向上させるために側
壁スペーサに隣接して隆起させたソース/ドレイン領域
を形成する。
【0014】
【発明の実施の形態】図1A乃至6Bを参照して、本発
明に基づく平坦化させた集積回路の製造方法について詳
細に説明する。これらの図面の断面は集積回路を製造す
る全体的な処理の流れの一部として本発明を示したもの
である。当業者にとって明らかなように、本明細書に記
載する部分的な処理の流れは多くのタイプの集積回路の
製造に適用可能なものであって、その場合の完全な処理
の流れは当該技術分野において公知の多くのその他の処
理ステップを包含するものである。
【0015】次に、図1Aを参照すると、部分的に製造
されたウエハの一部を断面で示してある。本明細書に記
載する実施例によれば、本発明はCMOS平坦化構成体
を製造するものである。勿論、本発明は平坦化が重要で
あるその他の構成体の製造に適用することが可能である
ことは勿論である。
【0016】図1Aはウエハの一部を示しており、それ
は分離構成体及び隣接する活性区域内の装置を形成すべ
き表面を有している。図1Aに示した如く、集積回路は
シリコン基板10上に形成すべきものである。シリコン
基板は分離構成体及び活性装置を形成すべきウエハにお
ける位置に依存してP型又はN型にドープしたシリコン
とすることが可能である。好適には約4000乃至50
00Åの間の深さに集積回路全体にわたりフィールド酸
化膜層を熱成長させ、次いでパターン形成し且つエッチ
ングしてフィールド酸化膜領域12を形成する。フィー
ルド酸化膜領域12はウエハの種々の部分の上に形成さ
れ、装置が形成される活性区域を分離させるためのもの
である。フィールド酸化膜領域は、好適には、異方性エ
ッチングを行なって開口17において垂直な側壁を形成
させる。フィールド酸化膜領域を形成する前に、フィー
ルド酸化膜領域を貫通して後に開口を形成する基板の位
置に依存して、ウエルのイオン注入を行なうことが可能
である。例えば、フィールド酸化膜下側の基板がP型で
あり且つ活性区域に対してNウエルが所望される場合に
は、150KeVにおいて約5×1012/cm2 のドー
ズにおいて燐又は所望のドーピング分布を得るためにそ
の他の適宜の手段及びドーパントタイプを使用してイオ
ン注入及びドライブインを行なうことによってNウエル
14を形成することが可能である。
【0017】例えばストリップ又は治癒用酸化物を熱成
長させ且つエッチング除去する等の基板表面に対して発
生された損傷を修復することが必要な場合に種々の従来
の処理ステップを行なった後に、典型的に熱酸化によっ
てシリコン基板10の上に約70乃至100Åの深さに
ゲート酸化膜層16を形成する。上述したNウエルを形
成するために実施するイオン注入に加えて、ゲート酸化
膜を形成する前に、ブランケット即ち一様なイオン注入
を行なってフィールド酸化膜領域によって被覆されてい
ない集積回路の種々の部分のドーピングレベルを調節す
ることが可能である。例えば、ブランケット即ち一様な
イオン注入は、30KeVにおいて約1.5×1012
cm2 のドーズでボロンを使用してイオン注入すること
が可能である。適宜のスレッシュホールド電圧に対する
調節を行なうためにP型領域をマスクすることによりN
ウエル内に付加的なイオン注入を行なうことが可能であ
る。イオン注入は、例えば、比較的低いエネルギレベル
の30KeVにおいて約1.7×1012/cm2 のドー
ズでボロンを使用してイオン注入することが可能であ
る。例えばより高いエネルギレベルの75KeVにおい
て約1×1012/cm2 のドーズにおいて例えばボロン
をイオン注入することによってNウエル領域内にパンチ
スルーイオン注入を行なうことが可能である。ドーピン
グレベルの更なる調節を行なうためにNウエルをマスク
し、例えば、180KeVにおいて約6×1012/cm
2 のドーズにおいてボロンをイオン注入することによっ
てP型領域内に付加的なイオン注入を行なうことも可能
である。
【0018】ゲート酸化膜層16の上にポリシリコン層
18を形成する。このポリシリコンは、通常、コンフォ
ーマル即ち適合的であって、従ってポリシリコンが付着
形成される場合にウエハの表面の輪郭にしたがって形成
される。本発明においては、ポリシリコン層18の上部
表面20の最も低い部分がフィールド酸化膜領域の上部
表面22の上方に位置するような深さにこのポリシリコ
ンを付着形成させることが好適である。ポリシリコン層
18は約7000乃至9000Åの間の深さへ付着形成
させる。フィールド酸化膜が4000乃至5000Åで
あるので、ポリシリコンの全体的な厚さが下側に存在す
る層よりも一層大きい場合には、ポリシリコンはより平
坦状となる傾向がある。ポリシリコン層18は現場にお
いてドーピングさせるか又は付着形成させた後に所望の
ドーピングレベルへドーピングさせることが可能であ
る。
【0019】次いで、ポリシリコン層18を平坦化さ
せ、次いでエッチングして種々の装置のゲートを形成す
る。このような態様でポリシリコンを形成する1つの利
点は、被覆されている物質の表面下側に入込む非常にコ
ンフォーマルなポリシリコン層をエッチングする結果と
して、コンタクト、ビア又は低い位置に存在する区域の
底端部に残存するポリシリコンのストリップ又はポリシ
リコンスティックが形成されることを最小とさせる能力
である。ポリシリコン層18の平坦化を例示する1つの
実施例を図1Bを参照して示してある。ポリシリコン層
18の上に平坦な上部表面を形成する傾向のあるレベル
へ非コンフォーマル層24を形成する。この層24は例
えば、好適にはポリシリコンに対して1:1のエッチ比
を有するスピンオンガラス又は犠牲ホトレジスト等の任
意の適宜の平坦化物質とすることが可能である。1:1
のエッチ比を有することは、エッチステップが平坦化層
及びポリシリコン層を同一の速度で一様にエッチングす
ることを可能とする。一方、図1Cに示した如く、フィ
ールド酸化膜領域上のポリシリコン下側にエッチストッ
プ層26を形成することが可能である。このエッチスト
ップ層も例えば窒化物又は耐火性金属等の任意の適宜の
物質とすることが可能である。次いで、後の処理ステッ
プを行なう前に、平坦化層24及びポリシリコン層18
をエッチングする。この場合のエッチングは、ウェット
エッチング又はウェットエッチングとドライエッチング
との組合わせ、又は化学機械的研磨(CMP)とするこ
とが可能である。
【0020】図2Aは、ポリシリコン層18を開口17
の上部までエッチングしフィールド酸化膜領域の上部表
面を露出させることが可能であることを示している。ウ
ェットエッチングが使用される場合には、図2Aにおい
て点線で表わしたように、フィールド酸化膜領域の上部
表面下側にポリシリコンが窪んだ形状となる場合があ
る。一方、図2Bに示したように、ポリシリコン層18
はフィールド酸化膜領域12及び開口17の上側に約1
500乃至2000Åの間の深さに一様に残存すること
も可能である。別の平坦化方法は、ポリシリコン全体に
平坦化誘電体層を形成するか又は形成することなしに化
学機械的研磨(CMP)によってエッチングを行なうこ
とである。
【0021】図2Bを参照すると、好適にはタンタル、
タングステン、チタン及びモリブデンから構成されるグ
ループから選択した物質でポリシリコン層18の上に約
1200乃至1700Åの間の厚さにシリサイド層28
を形成することが可能である。ポリシリコン層18をエ
ッチングしてフィールド酸化膜12の上部表面を露出し
ている場合には、このシリサイドは開口17内のポリシ
リコンの上及びフィールド酸化膜領域12の上に形成す
る。このシリサイドは、特にポリシリコンがフィールド
酸化膜領域上に相互接続体を形成する場合に、ポリシリ
コンの充分な導電度を確保するものである。シリサイド
層28の上にキャッピング層30を形成することが可能
である。このキャッピング層は、好適には、約1200
乃至1700Åの間の厚さを有し酸化物、窒化物又はオ
キシ窒化物から形成される。ホトレジスト層をキャッピ
ング層30の上に形成し且つパターン形成して開口17
における基板の上側に位置してホトレジスト領域32を
形成する。
【0022】図3を参照すると、ゲート酸化膜16、ポ
リシリコン層18、シリサイド層28及びキャッピング
層30をエッチングしてトランジスタゲートを形成す
る。基板表面上側のポリシリコン層18の高さは、ポリ
シリコンがエッチングされてフィールド酸化膜領域の上
部表面を露出させたか又はそれがエッチングされてトラ
ンジスタゲートを形成する前にフィールド酸化膜の上に
とどまったかどうかに依存する。従って、トランジスタ
ゲートの全体的な高さはトランジスタゲートを形成する
複数個の層の結合高さのために、フィールド酸化膜領域
12の上部表面上方に存在している。
【0023】図4を参照すると、例えばNチャンネルト
ランジスタの場合には80KeVにおいて約3×1013
/cm2 のドーズで燐を又Pチャンネルトランジスタの
場合には50KeVにおいて3×1013/cm2 のドー
ズでボロンをイオン注入する公知の方法によってトラン
ジスタゲートに隣接した基板内に軽度にドープしたドレ
イン領域36を形成する。次いで形成される場合にはシ
リサイド28とキャッピング層30とを包含するトラン
ジスタゲートの側部に沿って公知の方法により側壁酸化
物スペーサ34を形成する。更に、フィールド酸化膜領
域12の垂直側部に沿って側壁スペーサが形成される。
この側壁スペーサは、典型的に、酸化物又は窒化物から
形成され且つゲート及びフィールド酸化膜の両方に形成
され、そのことは開口17を幅狭とさせ、開口17の高
いアスペクト比にも拘らず適切なソース/ドレイン領域
及びソース/ドレイン領域へのコンタクトを形成するこ
とを重要なこととしている。次いで、側壁スペーサに隣
接した基板内により高度にドープしたソース/ドレイン
領域38を形成する。
【0024】トランジスタゲート及びLOCOSフィー
ルド酸化膜領域を形成する従来技術における典型的なプ
ロセスは、殆ど又は全くポリシリコンスティックを有す
ることのないトランジスタゲート及びスタンダードなフ
ィールド酸化膜領域を形成することによって簡単化され
ている。スタンダードなフィールド酸化膜領域でトラン
ジスタゲートが形成されると、アスペクト比の影響を最
小とさせることが重要である。何故ならば、それはソー
ス/ドレイン領域38とコンタクトするためのコンタク
ト開口17を充填することに関係するからである。より
平坦なトランジスタを提供する好適実施例では、トラン
ジスタゲートに隣接して開口17内に隆起させたソース
/ドレイン領域を形成し、それは開口を適切に充填す
る。
【0025】図5Aを参照すると、隆起させたソース/
ドレイン領域を形成する一実施例が示されている。エピ
タキシャル領域40を露出されている基板表面上方へ選
択的に成長させ且つ適宜のドーパントでイオン注入して
所望の導電度レベルとさせる。エピタキシャル領域40
は、例えば、Nチャンネルトランジスタの場合には40
KeVにおいて約6×1015/cm2 のドーズで燐を及
び40KeVにおいて6×1015/cm2 のドーズでB
2 をイオン注入することによってドーピングすること
が可能である。通常、エピタキシが基板表面から垂直に
成長し、従って、スタンダードなフィールド酸化膜領域
の側壁は実質的に垂直であるので、エピタキシャル領域
40は、スタンダードなLOCOSプロセスに見られる
ようなエピタキシの側部又は角部においてそれほど多く
のファセッティング(faceting)を示すもので
はない場合がある。
【0026】図5Bを参照すると、例えば、集積回路上
に耐火性金属を付着形成し、次いで熱ステップを行なっ
て耐火性金属をエピタキシャル領域内のシリコンと反応
させてシリサイド42を形成することにより、エピタキ
シをシリサイド化させることが望ましい。シリサイド領
域42は隆起させたソース/ドレイン領域40の固有抵
抗を低下させ、一方隆起させたソース/ドレイン領域4
0は不所望な量の基板シリコンが消費されることを防止
し、その際に接合リーク及びパンチスルーの可能性を低
下させる。LDD領域の深さ及びエピタキシャル領域4
0の重量に依存して、一層高度にドープしたソース/ド
レイン領域38に対する必要性がない場合がある。側壁
スペーサ34及びキャッピング層30は隆起させたソー
ス/ドレイン領域40をトランジスタのゲート電極18
から電気的に分離させることに貢献する。この段階にお
いてキャッピング層は必ずしも必要なものではないが、
特に側壁スペーサがキャッピング層から開始する場合に
は、キャッピング層を所定位置に設けることで電気的分
離が改善される。隆起されたソース/ドレイン領域40
は基板ソース/ドレイン領域の上及びゲート電極の上に
シリサイドを形成する従来のサリサイドプロセスと比較
して、後の高温処理ステップに対してより高い熱安定性
を与える。
【0027】図6Aを参照すると、隆起させたソース/
ドレイン領域を形成する別の実施例が示されている。ポ
リシリコン層44をトランジスタゲート、露出されてい
る基板及びフィールド酸化膜領域の上に付着形成する。
上述した第一ポリシリコン層18の付着形成に関して説
明したように、ポリシリコン層44はコンフォーマル的
に付着形成される。好適には、それを付着形成した後に
所望のドーピングレベルへドーピングしてN型領域とP
型領域との別個のドーピングを行なうことを可能とす
る。そのコンフォーマル特性のために、開口17を充填
して隆起させたソース/ドレイン領域を形成するため
に、ポリシリコン層44の最も低い部分46がフィール
ド酸化膜領域12の上部表面22の上方にあり且つ好適
にはキャッピング層30の上部表面の上方にあるような
厚さにポリシリコン層44を付着形成させる。例えばス
ピンオンガラス又はポリシリコン層44に対して1:1
のエッチング速度を有するホトレジスト等の平坦な犠牲
層48をポリシリコン層44の上に形成することが可能
である。
【0028】図6Bを参照すると、犠牲層48とポリシ
リコン層44のエッチングを行なってフィールド酸化膜
領域12の上部表面を露出させ、開口17内に隆起させ
たソース/ドレイン領域50を形成する。重要な点であ
るが、ポリシリコンの隆起させたソース/ドレイン領域
の場合には、使用するエッチャントはポリシリコンに対
して選択性があり、したがって下側に存在する層がエッ
チングされることがないものであることが必要である。
この場合のエッチングは、ウェットエッチング、ドライ
エッチング、CMP、又はこれら3つのうちの組合わせ
のものとすることが可能であり、それは犠牲層48及び
ポリシリコン層44に対して選択性があり、犠牲層とポ
リシリコン層と同一の速度でエッチングするが、側壁ス
ペーサ34、キャッピング層30又はキャッピング層が
形成されない場合にはシリサイド層28、及びエッチス
トップ層が形成される場合にはそれも包含してフィール
ド酸化膜領域12を実質的にエッチングしないものであ
る。
【0029】ポリシリコンの隆起させたソース/ドレイ
ン領域50は、トランジスタゲート及びエピタキシャル
の隆起させたソース/ドレイン領域の両方に対して上述
したようにシリサイド化させることも可能である。ポリ
シリコンの隆起させたソース/ドレイン領域50のシリ
サイド領域52も隆起させたソース/ドレイン領域の固
有抵抗を低下させ、一方隆起させたソース/ドレイン領
域50は不所望の量の基板シリコンが消費されることを
防止し、この場合も接合リーク及びパンチスルーが発生
する可能性を低下させる。側壁スペーサ34及びキャッ
ピング層30は隆起させたソース/ドレイン領域50を
トランジスタのゲート電極18から電気的に分離させる
ことに貢献する。更に、形成された場合にはキャッピン
グ層30は隆起させたソース/ドレイン領域をシリサイ
ド化させる前に除去することが可能であり、その場合に
ポリシリコンゲート電極28を隆起させたソース/ドレ
イン領域と同時にシリサイド化させることを可能とし、
プロセスを更に簡単化させる。この方法は、例えばSR
AM等の複数個のポリシリコンの代わりに例えばマイク
ロプロセサ等の1個のポリシリコン層のみを有する装置
の製造をより簡単化させることを可能とする場合があ
る。
【0030】上述した成長させたエピタキシャル領域の
場合における如く、隆起させたソース/ドレイン領域は
従来のサリサイドプロセスと比較して後の高温処理ステ
ップに対してより高い熱安定性を与える。成長させたエ
ピタキシの場合におけるように、この別の実施例はフィ
ールド酸化膜領域及びトランジスタゲートの形成を簡単
化させると共に後の処理ステップに対しより平坦な装置
を提供する。上述した各実施例の場合に、開口内に形成
した導電性物質とトランジスタゲート電極との間に適宜
の距離を維持するためにキャッピング層及び側壁酸化物
スペーサに対して最小厚さが必要である。この距離は装
置の必要な電気的分離を確保し且つ装置の一体性を維持
するものである。
【0031】以上、本発明の具体的実施の態様について
詳細に説明したが、本発明は、これら具体例にのみ限定
されるべきものではなく、本発明の技術的範囲を逸脱す
ることなしに種々の変形が可能であることは勿論であ
る。
【図面の簡単な説明】
【図1A】 本発明の一実施例に基づいて半導体集積回
路を製造する一段階における状態を示した概略断面図。
【図1B】 本発明の一実施例に基づいて半導体集積回
路を製造する一段階における状態を示した概略断面図。
【図1C】 本発明の一実施例に基づいて半導体集積回
路を製造する一段階における状態を示した概略断面図。
【図2A】 本発明の別の実施例に基づく半導体集積回
路を製造する一段階における状態を示した概略断面図。
【図2B】 本発明の一実施例に基づいて半導体集積回
路を製造する一段階における状態を示した概略断面図。
【図3】 本発明の一実施例に基づいて半導体集積回路
を製造する一段階における状態を示した概略断面図。
【図4】 本発明の一実施例に基づいて半導体集積回路
を製造する一段階における状態を示した概略断面図。
【図5A】 本発明の一実施例に基づいて半導体集積回
路を製造する一段階における状態を示した概略断面図。
【図5B】 本発明の一実施例に基づいて半導体集積回
路を製造する一段階における状態を示した概略断面図。
【図6A】 本発明の更に別の実施例に基づいて半導体
集積回路を製造する一段階における状態を示した概略断
面図。
【図6B】 本発明の更に別の実施例に基づいて半導体
集積回路を製造する一段階における状態を示した概略断
面図。
【符号の説明】
10 シリコン基板 12 フィールド酸化膜領域 14 Nウエル 16 ゲート酸化膜層 17 開口 18 ポリシリコン層 20 ポリシリコン層の上部表面 22 フィールド酸化膜領域の上部表面 24 平坦化層 26 エッチストップ層 30 キャッピング層 32 ホトレジスト領域 34 側壁酸化物スペーサ 36 軽度にドープしたドレイン領域 38 高度にドープしたソース/ドレイン領域 40 隆起させたソース/ドレイン領域 42 シリサイド領域
───────────────────────────────────────────────────── フロントページの続き (72)発明者 クエイ−ウ ファン アメリカ合衆国, テキサス, アービン グ, ウエスト バレイ ランチ パーク ウエイ 9825, ナンバー 1220 (72)発明者 ツィウ シー. チャン アメリカ合衆国, テキサス 75006, カーロルトン, カメロ ドライブ 1633 (72)発明者 グレゴリー シー. スミス アメリカ合衆国, テキサス 75007, カーロルトン, ションカ ドライブ 1505

Claims (68)

    【特許請求の範囲】
  1. 【請求項1】 半導体集積回路の一部を形成する方法に
    おいて、 集積回路上にフィールド酸化膜を成長させ、 前記フィールド酸化膜をパターン形成すると共にエッチ
    ングして活性区域を形成する箇所のフィールド酸化膜の
    下側に存在する基板の上部表面の一部を露出させる実質
    的に垂直な側壁を具備する開口を形成し、 前記基板の露出部分上にゲート酸化膜を成長させ、 ポリシリコンの上部表面の最も低い部分が前記フィール
    ド酸化膜の上部表面の上方となるような厚さにポリシリ
    コン層を前記フィールド酸化膜及びゲート酸化膜の上に
    付着形成し、 前記ポリシリコン層を平坦化させ、少なくとも前記フィ
    ールド酸化膜によって被覆されていない基板の部分の上
    側に存在するポリシリコン層の一部の上にフォトレジス
    トマスクを形成し、 前記ポリシリコン及びゲート酸化膜をパターン形成する
    と共にエッチングしてゲート電極を形成し、 前記フォトレジストを除去し、 前記ゲート電極の側部に沿って側壁スペーサを形成す
    る、上記各ステップを有することを特徴とする方法。
  2. 【請求項2】 請求項1において、前記フィールド酸化
    膜が約4000乃至5000Åの間の厚さを有している
    ことを特徴とする方法。
  3. 【請求項3】 請求項1において、更に、前記フィール
    ド酸化膜が除去される区域において前記フィールド酸化
    膜を形成する前に前記基板内にNウエルを形成するステ
    ップを有することを特徴とする方法。
  4. 【請求項4】 請求項3において、前記Nウエルを燐の
    イオン注入及びドライブインによって形成することを特
    徴とする方法。
  5. 【請求項5】 請求項1において、更に、前記ゲート酸
    化膜層を形成する前に所望のドーピングレベルへ調節す
    るために前記基板内へ一様なイオン注入を実施するステ
    ップを有することを特徴とする方法。
  6. 【請求項6】 請求項5において、前記一様なイオン注
    入が30KeVにおいて約1.5×1012/cm2 のド
    ーズでボロンをイオン注入することを特徴とする方法。
  7. 【請求項7】 請求項6において、更に、P型領域をマ
    スクし、且つ前記Nウエル領域内へパンチスルーイオン
    注入を実施する、上記各ステップを有することを特徴と
    する方法。
  8. 【請求項8】 請求項7において、前記パンチスルーイ
    オン注入が、約75KeVにおいて約1×1012/cm
    2 のドーズでボロンをイオン注入することを特徴とする
    方法。
  9. 【請求項9】 請求項5において、更に、前記基板内の
    選択したP型領域をマスクし、且つ前記基板内の前記選
    択したP型領域ではなくNウエルのドーピングレベルを
    調節するために選択したNウエル内にドーパントをイオ
    ン注入する、上記各ステップを有することを特徴とする
    方法。
  10. 【請求項10】 請求項9において、前記ドーパントを
    イオン注入する場合に、約30KeVにおいて約1.7
    ×1012/cm2 のドーズでボロンをイオン注入するこ
    とを特徴とする方法。
  11. 【請求項11】 請求項1において、更に、前記基板内
    の選択したNウエル領域をマスクし、所望のドーピング
    レベルへ調節するためにP型領域内へドーパントをイオ
    ン注入する、上記各ステップを有することを特徴とする
    方法。
  12. 【請求項12】 請求項11において、前記ドーパント
    のイオン注入が、約180KeVにおいて約6×1012
    /cm2 のドーズでボロンをイオン注入することを特徴
    とする方法。
  13. 【請求項13】 請求項1において、前記ゲート酸化膜
    が約70乃至100Åの間の厚さを有していることを特
    徴とする方法。
  14. 【請求項14】 請求項1において、前記ポリシリコン
    層が約7000乃至9000Åの間の厚さへ形成される
    ことを特徴とする。
  15. 【請求項15】 請求項1において、前記ポリシリコン
    が付着された現場においてドープされることを特徴とす
    る方法。
  16. 【請求項16】 請求項1において、更に、前記ポリシ
    リコンを付着形成した後に所望のドーピングレベルへド
    ーピングするステップを有していることを特徴とする方
    法。
  17. 【請求項17】 請求項1において、前記ポリシリコン
    を平坦化させるステップがCMPを有することを特徴と
    する方法。
  18. 【請求項18】 請求項1において、前記ポリシリコン
    を平坦化するステップが、ポリシリコンと1:1のエッ
    チ比を有するポリシリコン層上に平坦層を形成し、前記
    平坦層及びポリシリコンをエッチバックする、上記各ス
    テップを有することを特徴とする方法。
  19. 【請求項19】 請求項18において、前記平坦層がス
    ピンオンガラスであることを特徴とする方法。
  20. 【請求項20】 請求項18において、前記平坦層がホ
    トレジストであることを特徴とする方法。
  21. 【請求項21】 請求項18において、前記エッチバッ
    クがウェットエッチであることを特徴とする方法。
  22. 【請求項22】 請求項18において、前記エッチバッ
    クが化学機械的研磨であることを特徴とする方法。
  23. 【請求項23】 請求項18において、前記ポリシリコ
    ンをエッチングした後に前記フィールド酸化膜の表面上
    及び前記開口内にポリシリコン層が残存することを特徴
    とする方法。
  24. 【請求項24】 請求項23において、前記残存するポ
    リシリコンが前記フィールド酸化膜上において約150
    0乃至2000Åであることを特徴とする方法。
  25. 【請求項25】 請求項18において、前記ポリシリコ
    ンの上部表面が前記フィールド酸化膜の上部表面と実質
    的に平坦状であることを特徴とする方法。
  26. 【請求項26】 請求項1において、更に、前記ポリシ
    リコン層を形成する前に前記フィールド酸化膜上にエッ
    チストップ層を形成するステップを有することを特徴と
    する方法。
  27. 【請求項27】 請求項24において、前記エッチスト
    ップ層が窒化物を有することを特徴とする方法。
  28. 【請求項28】 請求項1において、更に、前記ホトレ
    ジストを形成する前に前記ポリシリコン、基板及びフィ
    ールド酸化膜上にシリサイド層を形成し、ポリシリコン
    で前記シリサイドをエッチングする、上記各ステップを
    有することを特徴とする方法。
  29. 【請求項29】 請求項28において、前記シリサイド
    がタンタル、タングステン、チタン、モリブデンからな
    るグループから選択した物質で形成されることを特徴と
    する方法。
  30. 【請求項30】 請求項28において、前記シリサイド
    が約1200乃至1700Åの間の厚さを有しているこ
    とを特徴とする方法。
  31. 【請求項31】 請求項1において、更に、前記ホトレ
    ジストを形成する前に前記ポリシリコン層上にキャッピ
    ング層を形成し、前記ポリシリコンと共に前記シリサイ
    ドをエッチングする、上記各ステップを有することを特
    徴とする方法。
  32. 【請求項32】 請求項31において、前記キャッピン
    グ層が酸化物を有することを特徴とする方法。
  33. 【請求項33】 請求項31において、前記キャッピン
    グ層が約1200乃至1700Åの間の厚さを有してい
    ることを特徴とする方法。
  34. 【請求項34】 請求項1において、更に、前記側壁ス
    ペーサを形成する前に前記ゲート電極に隣接して前記基
    板内に軽度にドープしたドレイン領域を形成するステッ
    プを有することを特徴とする方法。
  35. 【請求項35】 請求項1において、更に、前記側壁ス
    ペーサを形成した後に前記ゲート電極に隣接して前記基
    板内にソース/ドレイン領域を形成するステップを有す
    ることを特徴とする方法。
  36. 【請求項36】 請求項1において、更に、前記露出し
    た基板の上側に位置し且つ前記ゲート電極に隣接して隆
    起したソース/ドレイン領域を形成するステップを有す
    ることを特徴とする方法。
  37. 【請求項37】 請求項36において、前記隆起したソ
    ース/ドレイン領域を形成するステップが、更に、 前記ホトレジストを形成する前に前記ポリシリコン層上
    にキャッピング層を形成し、 前記キャッピング層を前記ポリシリコン層でエッチング
    し、 ポリシリコン層の上部表面の最も低い部分がゲート電極
    の上部表面の上方となるように前記トランジスタゲート
    電極、露出されている基板及びフィールド酸化膜上にポ
    リシリコン層を付着形成し、 ポリシリコン層と1:1のエッチング速度を有するポリ
    シリコン層上に平坦な犠牲層を形成し、 前記犠牲層及びポリシリコン層をエッチングして前記フ
    ィールド酸化膜の上部表面を露出させ、 前記ポリシリコン層を所望のドーピングレベルへドーピ
    ングする、上記各ステップを有することを特徴とする方
    法。
  38. 【請求項38】 請求項37において、前記ポリシリコ
    ン層の上部表面の最も低い部分が前記ゲート電極の上部
    表面の上方にあることを特徴とする方法。
  39. 【請求項39】 請求項37において、更に、前記ポリ
    シリコンを付着形成する前に前記キャッピング層の下側
    で前記ポリシリコンの上側にシリサイドを形成するステ
    ップを有することを特徴とする方法。
  40. 【請求項40】 請求項36において、前記隆起したソ
    ース/ドレイン領域を形成するステップが、更に、 前記露出した基板表面の上に選択的にエピタキシを成長
    させ、 前記エピタキシを適宜のドーパントでイオン注入して所
    望の導電度レベルとし、 前記選択的に成長させたエピタキシの上部部分をシリサ
    イド化させる、上記各ステップを有することを特徴とす
    る方法。
  41. 【請求項41】 半導体集積回路の一部を形成する方法
    において、 集積回路の上にフィールド酸化膜を成長させ、 前記フィールド酸化膜をパターン形成し且つエッチング
    して活性区域を形成する前記フィールド酸化膜の下側に
    位置した基板の上部表面の一部を露出させる実質的に垂
    直な側壁を具備する開口を形成し、 前記基板の露出部分の上にゲート酸化膜を成長させ、 ポリシリコンの上部表面の最も低い部分が前記フィール
    ド酸化膜の上部表面の上方にあるような厚さにドープし
    たポリシリコン層を前記フィールド酸化膜及びゲート酸
    化膜の上に付着形成し、 前記ポリシリコン層の上部表面が前記フィールド酸化膜
    の上部表面またはその上方に留まるように前記ポリシリ
    コン層を平坦化し且つエッチングし、 前記ポリシリコン層の上にシリサイドを形成し、 前記シリサイドの上にキャッピング層を形成し、 前記フィールド酸化膜によって被覆されていない前記基
    板の少なくとも一部の上側に位置して前記キャッピング
    層の一部の上にホトレジストマスクを形成し、 前記キャッピング層、シリサイド、ポリシリコン及びゲ
    ート酸化膜をパターン形成すると共にエッチングしてト
    ランジスタのゲート電極を形成し、 前記ホトレジストを除去し、 前記ゲート電極に隣接して前記基板内にLDD領域を形
    成し、 前記ゲート電極の側部に沿って側壁スペーサを形成し、 前記ゲート電極に隣接してソース/ドレイン領域を形成
    する、上記各ステップを有することを特徴とする方法。
  42. 【請求項42】 請求項41において、更に、前記フィ
    ールド酸化膜が除去される区域内に前記フィールド酸化
    膜を形成する前に前記基板内にNウエルを形成するステ
    ップを有することを特徴とする方法。
  43. 【請求項43】 請求項41において、前記ポリシリコ
    ン層が約7000乃至9000Åの間の厚さに形成させ
    ることを特徴とする方法。
  44. 【請求項44】 請求項41において、前記シリサイド
    がタンタル、タングステン、チタン及びモリブデンから
    なるグループから選択した物質から形成されることを特
    徴とする方法。
  45. 【請求項45】 請求項41において、前記シリサイド
    が約1200乃至1700Åの間の厚さを有しているこ
    とを特徴とする方法。
  46. 【請求項46】 請求項41において、前記キャッピン
    グ層が約1200乃至1700Åの間の厚さを有してい
    ることを特徴とする方法。
  47. 【請求項47】 請求項41において、更に、前記露出
    した基板の上側に位置し且つ前記ゲート電極に隣接して
    隆起したソース/ドレイン領域を形成するステップを有
    することを特徴とする方法。
  48. 【請求項48】 半導体集積回路の一部を構成する構成
    体において、 フィールド酸化膜の下側に位置した基板の上部表面の一
    部を露出させる実質的に垂直な側壁を具備しておりフィ
    ールド酸化膜を貫通する開口を具備するフィールド酸化
    膜が前記集積回路上に形成されており、 前記基板の露出部分の一部の上にゲート酸化膜が設けら
    れており、 ポリシリコンゲート電極が前記ゲート酸化膜の上側に位
    置しており且つ前記フィールド酸化膜の上部表面と同一
    面状であるか又はそれより上方に上部表面を具備してお
    り、 前記ゲート電極に隣接しLDD領域が前記基板内に設け
    られており、 前記ポリシリコンゲート電極の側部に沿って側壁スペー
    サが設けられている、ことを特徴とする構成体。
  49. 【請求項49】 請求項48において、前記フィールド
    酸化膜が約4000乃至5000Åの間の厚さを有して
    いることを特徴とする構成体。
  50. 【請求項50】 請求項48において、更に、前記基板
    内の活性区域内にNウエルが設けられていることを特徴
    とする構成体。
  51. 【請求項51】 請求項48において、前記ゲート酸化
    膜が約70乃至100Åの間の厚さを有していることを
    特徴とする構成体。
  52. 【請求項52】 請求項48において、前記ポリシリコ
    ンゲート電極が約7000乃至9000Åの間の厚さを
    有していることを特徴とする構成体。
  53. 【請求項53】 請求項48において、更に、前記ポリ
    シリコンゲート電極の上にシリサイド層が設けられてい
    ることを特徴とする構成体。
  54. 【請求項54】 請求項53において、前記シリサイド
    がタンタル、タングステン、チタン及びモリブデンから
    なるグループから選択した物質で構成されていることを
    特徴とする構成体。
  55. 【請求項55】 請求項53において、前記シリサイド
    が約1200乃至1700Åの間の厚さを有しているこ
    とを特徴とする構成体。
  56. 【請求項56】 請求項48において、前記シリサイド
    上にキャッピング層が設けられていることを特徴とする
    構成体。
  57. 【請求項57】 請求項56において、前記キャッピン
    グ層が酸化物を有することを特徴とする構成体。
  58. 【請求項58】 請求項56において、前記キャッピン
    グ層が約1200乃至1700Åの間の厚さを有してい
    ることを特徴とする構成体。
  59. 【請求項59】 請求項48において、前記ゲート電極
    に隣接して前記基板内にLDD領域が設けられているこ
    とを特徴とする構成体。
  60. 【請求項60】 請求項48において、前記基板内に前
    記ゲート電極に隣接してソース/ドレイン領域が設けら
    れていることを特徴とする構成体。
  61. 【請求項61】 請求項48において、前記露出した基
    板の上側に位置し前記ゲート電極に隣接して隆起したソ
    ース/ドレイン領域が設けられていることを特徴とする
    構成体。
  62. 【請求項62】 請求項61において、前記隆起したソ
    ース/ドレイン領域が、ドープしたポリシリコン、前記
    ドープしたポリシリコンの上部表面上のシリサイドを有
    することを特徴とする構成体。
  63. 【請求項63】 請求項61において、前記隆起したソ
    ース/ドレイン領域が、ドープし選択的に成長させたエ
    ピタキシと、前記選択的に成長させたエピタキシの上部
    部分上のシリサイドとを有することを特徴とする構成
    体。
  64. 【請求項64】 半導体集積回路の一部を構成する構成
    体において、 フィールド酸化膜が集積回路の上側に形成されており、
    前記フィールド酸化膜はその下側に存在する基板の上部
    表面の一部において活性区域を露出させる実質的に垂直
    な側壁を具備する開口が貫通されており、 前記露出した基板の一部の上にゲート酸化膜が設けられ
    ており、 ポリシリコンゲート電極が前記ゲート酸化膜の上側に位
    置しており且つ前記フィールド酸化膜の上部表面と同一
    面状か又はその上方に上部表面を具備しており、 シリサイド層が前記ポリシリコン層の上に設けられてお
    り、 キャッピング層が前記シリサイド層の上に設けられてお
    り、 前記ゲート電極に隣接し前記基板内にLDD領域が設け
    られており、 前記ポリシリコンゲート電極の側部に沿って側壁スペー
    サが設けられている、ことを特徴とする構成体。
  65. 【請求項65】 請求項64において、前記ゲート電極
    に隣接してソース/ドレイン領域が設けられていること
    を特徴とする構成体。
  66. 【請求項66】 請求項65において、前記ソース/ド
    レイン領域が前記基板内に設けられていることを特徴と
    する構成体。
  67. 【請求項67】 請求項64において、前記ソース/ド
    レイン領域が、前記ゲート電極に隣接しており前記露出
    された基板の上に設けられたドープされ隆起したポリシ
    リコン層が設けられていることを特徴とする構成体。
  68. 【請求項68】 請求項64において、前記ソース/ド
    レイン領域が、前記ゲート電極に隣接し且つ前記露出さ
    れた基板表面上にドープされ且つ選択的に成長された隆
    起したエピタキシャル領域と、前記エピタキシャル領域
    の上部部分上のシリサイドとを有することを特徴とする
    構成体。
JP8128762A 1995-06-07 1996-05-23 集積回路において平坦化構造を形成する方法 Pending JPH08340113A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US480857 1995-06-07
US08/480,857 US5682055A (en) 1995-06-07 1995-06-07 Method of forming planarized structures in an integrated circuit

Publications (1)

Publication Number Publication Date
JPH08340113A true JPH08340113A (ja) 1996-12-24

Family

ID=23909623

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8128762A Pending JPH08340113A (ja) 1995-06-07 1996-05-23 集積回路において平坦化構造を形成する方法

Country Status (3)

Country Link
US (2) US5682055A (ja)
EP (1) EP0747946A3 (ja)
JP (1) JPH08340113A (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6156631A (en) * 1996-09-06 2000-12-05 Nec Corporation Method of manufacturing semiconductor device
JP2001223356A (ja) * 1999-12-31 2001-08-17 Hynix Semiconductor Inc トランジスタ及びその製造方法
JP2004146825A (ja) * 2002-10-26 2004-05-20 Samsung Electronics Co Ltd Mosトランジスター及びその製造方法
JP2009054719A (ja) * 2007-08-24 2009-03-12 Tokyo Electron Ltd 半導体製造方法、半導体製造装置および表示装置

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5955770A (en) * 1994-10-31 1999-09-21 Stmicroelectronics, Inc. Method of forming raised source/drain regions in an integrated circuit
US5682055A (en) * 1995-06-07 1997-10-28 Sgs-Thomson Microelectronics, Inc. Method of forming planarized structures in an integrated circuit
US5739066A (en) 1996-09-17 1998-04-14 Micron Technology, Inc. Semiconductor processing methods of forming a conductive gate and line
US5753557A (en) * 1996-10-07 1998-05-19 Vanguard International Semiconductor Company Bridge-free self aligned silicide process
US6080645A (en) 1996-10-29 2000-06-27 Micron Technology, Inc. Method of making a doped silicon diffusion barrier region
TW324836B (en) * 1997-02-04 1998-01-11 Winbond Electronics Corp The manufacturing process of self-aligned silicide, CMP, self-aligned silicide semiconductor
US6015997A (en) 1997-02-19 2000-01-18 Micron Technology, Inc. Semiconductor structure having a doped conductive layer
US5926730A (en) * 1997-02-19 1999-07-20 Micron Technology, Inc. Conductor layer nitridation
US6262458B1 (en) 1997-02-19 2001-07-17 Micron Technology, Inc. Low resistivity titanium silicide structures
DE19753778B4 (de) * 1997-12-04 2004-02-26 Robert Bosch Gmbh Sensor
DE69739250D1 (de) * 1997-12-31 2009-03-26 St Microelectronics Srl Hochspannungsfeldeffekttransistor und Verfahren zu dessen Herstellung
US5915183A (en) * 1998-06-26 1999-06-22 International Business Machines Corporation Raised source/drain using recess etch of polysilicon
US6211087B1 (en) * 1998-06-29 2001-04-03 Vlsi Technology, Inc. Chemical wet etch removal of underlayer material after performing chemical mechanical polishing on a primary layer
US6143611A (en) * 1998-07-30 2000-11-07 Micron Technology, Inc. Semiconductor processing methods, methods of forming electronic components, and transistors
US6274445B1 (en) 1999-02-03 2001-08-14 Philips Semi-Conductor, Inc. Method of manufacturing shallow source/drain junctions in a salicide process
JP3971873B2 (ja) * 1999-09-10 2007-09-05 株式会社ルネサステクノロジ 半導体集積回路装置およびその製造方法
US6258677B1 (en) * 1999-10-01 2001-07-10 Chartered Seminconductor Manufacturing Ltd. Method of fabricating wedge isolation transistors
JP2001237422A (ja) * 1999-12-14 2001-08-31 Sanyo Electric Co Ltd 半導体装置およびその製造方法
US6107140A (en) * 1999-12-20 2000-08-22 Chartered Semiconductor Manufacturing Ltd. Method of patterning gate electrode conductor with ultra-thin gate oxide
KR100326811B1 (ko) * 1999-12-31 2002-03-04 박종섭 반도체소자의 비트라인 형성방법
US6352899B1 (en) * 2000-02-03 2002-03-05 Sharp Laboratories Of America, Inc. Raised silicide source/drain MOS transistors having enlarged source/drain contact regions and method
KR100352909B1 (ko) 2000-03-17 2002-09-16 삼성전자 주식회사 반도체소자의 자기정렬 콘택 구조체 형성방법 및 그에의해 형성된 자기정렬 콘택 구조체
KR100343146B1 (ko) * 2000-11-02 2002-07-05 윤종용 다마신 구조의 게이트 전극이 형성된 반도체 소자 및 그의형성방법
US6541327B1 (en) * 2001-01-16 2003-04-01 Chartered Semiconductor Manufacturing Ltd. Method to form self-aligned source/drain CMOS device on insulated staircase oxide
KR100491141B1 (ko) * 2001-03-02 2005-05-24 삼성에스디아이 주식회사 박막 트랜지스터 및 그의 제조방법과 이를 이용한 액티브매트릭스형 표시소자 및 그의 제조방법
US6566208B2 (en) * 2001-07-25 2003-05-20 Chartered Semiconductor Manufacturing Ltd. Method to form elevated source/drain using poly spacer
KR100487922B1 (ko) * 2002-12-06 2005-05-06 주식회사 하이닉스반도체 반도체소자의 트랜지스터 및 그 형성방법
US7049662B2 (en) * 2003-11-26 2006-05-23 International Business Machines Corporation Structure and method to fabricate FinFET devices
US7095086B2 (en) * 2003-12-31 2006-08-22 Dongbu Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same
US7355237B2 (en) * 2004-02-13 2008-04-08 Sandisk Corporation Shield plate for limiting cross coupling between floating gates
JP2005332993A (ja) * 2004-05-20 2005-12-02 Sanyo Electric Co Ltd 半導体装置および半導体装置の製造方法
US7294573B1 (en) * 2005-01-13 2007-11-13 Spansion Llc Method for controlling poly 1 thickness and uniformity in a memory array fabrication process
US20080070356A1 (en) * 2006-09-14 2008-03-20 Advanced Micro Devices, Inc. Trench replacement gate process for transistors having elevated source and drain regions
TWI368282B (en) * 2007-05-07 2012-07-11 Siliconware Precision Industries Co Ltd Sensor-type semiconductor device and manufacturing method thereof
KR100863687B1 (ko) * 2007-05-17 2008-10-16 주식회사 동부하이텍 반도체 소자 및 반도체 소자의 제조 방법
KR100830591B1 (ko) * 2007-06-07 2008-05-22 삼성전자주식회사 개구부들을 포함하는 반도체 소자의 형성 방법
KR100898225B1 (ko) 2007-09-07 2009-05-18 주식회사 동부하이텍 반도체 소자 및 이의 제조방법
US8324110B2 (en) * 2010-02-02 2012-12-04 International Business Machines Corporation Field effect transistor (FET) and method of forming the FET without damaging the wafer surface
CN112420752B (zh) * 2019-08-20 2022-12-02 复旦大学 基于半导体衬底的新型单晶体管像素传感器及制备方法
CN110634955B (zh) * 2019-08-21 2021-04-06 复旦大学 基于体硅的新型半导体场效应正反馈晶体管及制备方法

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4937643A (en) * 1981-05-22 1990-06-26 American Telephone And Telegraph Company Devices having tantalum silicide structures
US4450620A (en) * 1983-02-18 1984-05-29 Bell Telephone Laboratories, Incorporated Fabrication of MOS integrated circuit devices
JPS60103671A (ja) * 1983-11-11 1985-06-07 Toshiba Corp 半導体装置
US4663825A (en) * 1984-09-27 1987-05-12 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US4807013A (en) * 1984-10-17 1989-02-21 American Telephone And Telegraph Company At&T Bell Laboratories Polysilicon fillet
US4859620A (en) * 1985-04-12 1989-08-22 General Electric Company Graded extended drain concept for reduced hot electron effect
US4841347A (en) * 1985-10-30 1989-06-20 General Electric Company MOS VLSI device having shallow junctions and method of making same
US4676867A (en) * 1986-06-06 1987-06-30 Rockwell International Corporation Planarization process for double metal MOS using spin-on glass as a sacrificial layer
US4859047A (en) * 1987-03-30 1989-08-22 Badewitz Charles J Glare reducing night driving glasses
US4775644A (en) * 1987-06-03 1988-10-04 Lsi Logic Corporation Zero bird-beak oxide isolation scheme for integrated circuits
US4845047A (en) * 1987-06-25 1989-07-04 Texas Instruments Incorporated Threshold adjustment method for an IGFET
US4977108A (en) * 1987-12-02 1990-12-11 Advanced Micro Devices, Inc. Method of making self-aligned, planarized contacts for semiconductor devices
JP2643262B2 (ja) * 1988-03-23 1997-08-20 日本電気株式会社 半導体装置の製造方法
US4868138A (en) * 1988-03-23 1989-09-19 Sgs-Thomson Microelectronics, Inc. Method for forming a self-aligned source/drain contact for an MOS transistor
JPH029134A (ja) * 1988-06-28 1990-01-12 Matsushita Electron Corp 半導体装置の製造方法
US5158903A (en) * 1989-11-01 1992-10-27 Matsushita Electric Industrial Co., Ltd. Method for producing a field-effect type semiconductor device
US5602056A (en) * 1990-03-05 1997-02-11 Vlsi Technology, Inc. Method for forming reliable MOS devices using silicon rich plasma oxide film
JP2923700B2 (ja) * 1991-03-27 1999-07-26 株式会社半導体エネルギー研究所 半導体装置およびその作製方法
KR930008018B1 (ko) * 1991-06-27 1993-08-25 삼성전자 주식회사 바이씨모스장치 및 그 제조방법
US5182619A (en) * 1991-09-03 1993-01-26 Motorola, Inc. Semiconductor device having an MOS transistor with overlapped and elevated source and drain
US5200352A (en) * 1991-11-25 1993-04-06 Motorola Inc. Transistor having a lightly doped region and method of formation
US5422289A (en) * 1992-04-27 1995-06-06 National Semiconductor Corporation Method of manufacturing a fully planarized MOSFET and resulting structure
US5241193A (en) * 1992-05-19 1993-08-31 Motorola, Inc. Semiconductor device having a thin-film transistor and process
US5341016A (en) * 1993-06-16 1994-08-23 Micron Semiconductor, Inc. Low resistance device element and interconnection structure
US5346587A (en) * 1993-08-12 1994-09-13 Micron Semiconductor, Inc. Planarization of a gate electrode for improved gate patterning over non-planar active area isolation
US5374574A (en) * 1993-11-23 1994-12-20 Goldstar Electron Co., Ltd. Method for the fabrication of transistor
US5376578A (en) * 1993-12-17 1994-12-27 International Business Machines Corporation Method of fabricating a semiconductor device with raised diffusions and isolation
US5434096A (en) * 1994-10-05 1995-07-18 Taiwan Semiconductor Manufacturing Company Ltd. Method to prevent silicide bubble in the VLSI process
US5683924A (en) * 1994-10-31 1997-11-04 Sgs-Thomson Microelectronics, Inc. Method of forming raised source/drain regions in a integrated circuit
US5955770A (en) * 1994-10-31 1999-09-21 Stmicroelectronics, Inc. Method of forming raised source/drain regions in an integrated circuit
EP0709880A3 (en) * 1994-10-31 1996-10-23 Sgs Thomson Microelectronics Method for forming projecting source / drain regions in an integrated circuit
US5635425A (en) * 1995-05-25 1997-06-03 Industrial Technology Research Institute In-situ N2 plasma treatment for PE TEOS oxide deposition
US5682055A (en) * 1995-06-07 1997-10-28 Sgs-Thomson Microelectronics, Inc. Method of forming planarized structures in an integrated circuit

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6156631A (en) * 1996-09-06 2000-12-05 Nec Corporation Method of manufacturing semiconductor device
JP2001223356A (ja) * 1999-12-31 2001-08-17 Hynix Semiconductor Inc トランジスタ及びその製造方法
JP4629867B2 (ja) * 1999-12-31 2011-02-09 株式会社ハイニックスセミコンダクター トランジスタ及びその製造方法
JP2004146825A (ja) * 2002-10-26 2004-05-20 Samsung Electronics Co Ltd Mosトランジスター及びその製造方法
KR100485690B1 (ko) * 2002-10-26 2005-04-27 삼성전자주식회사 모스 트랜지스터 및 그 제조방법
US7119435B2 (en) 2002-10-26 2006-10-10 Samsung Electronics Co., Ltd. Semiconductor device with source/drain extension layer
CN100435353C (zh) * 2002-10-26 2008-11-19 三星电子株式会社 Mos晶体管及其制造方法
JP4519442B2 (ja) * 2002-10-26 2010-08-04 三星電子株式会社 Mosトランジスター及びその製造方法
JP2009054719A (ja) * 2007-08-24 2009-03-12 Tokyo Electron Ltd 半導体製造方法、半導体製造装置および表示装置

Also Published As

Publication number Publication date
US5682055A (en) 1997-10-28
US20030071306A1 (en) 2003-04-17
EP0747946A2 (en) 1996-12-11
EP0747946A3 (en) 1998-07-22

Similar Documents

Publication Publication Date Title
JPH08340113A (ja) 集積回路において平坦化構造を形成する方法
US5683924A (en) Method of forming raised source/drain regions in a integrated circuit
US6649481B2 (en) Methods of fabricating a semiconductor device structure for manufacturing high-density and high-performance integrated-circuits
US6015727A (en) Damascene formation of borderless contact MOS transistors
US6025635A (en) Short channel transistor having resistive gate extensions
US7332400B2 (en) Method of manufacturing a semiconductor device having a gate structure with low parasitic capacitance
US6204137B1 (en) Method to form transistors and local interconnects using a silicon nitride dummy gate technique
US5798278A (en) Method of forming raised source/drain regions in an integrated circuit
EP1253632A2 (en) Novel transistor with ultra shallow tip and method of fabrication
US6790756B2 (en) Self aligned channel implant, elevated S/D process by gate electrode damascene
US5028555A (en) Self-aligned semiconductor devices
US5081516A (en) Self-aligned, planarized contacts for semiconductor devices
US5834360A (en) Method of forming an improved planar isolation structure in an integrated circuit
US5055427A (en) Process of forming self-aligned interconnects for semiconductor devices
US6787425B1 (en) Methods for fabricating transistor gate structures
US5057902A (en) Self-aligned semiconductor devices
US5955770A (en) Method of forming raised source/drain regions in an integrated circuit
US5057455A (en) Formation of integrated circuit electrodes
US20060270139A1 (en) Methods for Transistor Formation Using Selective Gate Implantation
US7071068B2 (en) Transistor and method for fabricating the same
US6657263B2 (en) MOS transistors having dual gates and self-aligned interconnect contact windows
US6228729B1 (en) MOS transistors having raised source and drain and interconnects
US7521767B2 (en) MOS transistor in a semiconductor device
JPH06177377A (ja) 絶縁ゲート電界効果トランジスタ
US6806156B2 (en) Process for fabricating a MOS transistor of short gate length and integrated circuit comprising such a transistor

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20061228

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070123

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20070626