JPH0814026B2 - 高密度プラズマ蒸着およびエッチング装置 - Google Patents

高密度プラズマ蒸着およびエッチング装置

Info

Publication number
JPH0814026B2
JPH0814026B2 JP2154989A JP15498990A JPH0814026B2 JP H0814026 B2 JPH0814026 B2 JP H0814026B2 JP 2154989 A JP2154989 A JP 2154989A JP 15498990 A JP15498990 A JP 15498990A JP H0814026 B2 JPH0814026 B2 JP H0814026B2
Authority
JP
Japan
Prior art keywords
plasma
antenna
magnetic field
chamber
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2154989A
Other languages
English (en)
Other versions
JPH0368773A (ja
Inventor
グレゴー・キャンプベル
ロバート・ダブリュ・コーン
タツオ ショウジ
Original Assignee
プラズマ・アンド・マテリアルズ・テクノロジーズ、インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by プラズマ・アンド・マテリアルズ・テクノロジーズ、インコーポレイテッド filed Critical プラズマ・アンド・マテリアルズ・テクノロジーズ、インコーポレイテッド
Publication of JPH0368773A publication Critical patent/JPH0368773A/ja
Publication of JPH0814026B2 publication Critical patent/JPH0814026B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32688Multi-cusp fields

Description

【発明の詳細な説明】 (産業上の利用分野) 本発明は、薄膜を基板上に蒸着させるかまたは膜を基
材から除去(エッチング)するための、プラズマ蒸着ま
たはエッチング法、および種々の装置に関する。
(従来の技術) エッチング プラズマエッチングには、化学的活性原子またはエネ
ルギーイオンの使用により物質を基板から除去すること
が包含される。これは、半導体集積回路の製造において
重要な技術である。しかし、電子サイクロトロン共鳴
(ECR)を利用するマイクロ波プラズマの出現以前は、
従来からのプラズマエッチング技術では、装置充填密度
の増大によって強いられる条件を満足させることが、困
難となっていた。特に、精巧なパターンエッチング(異
方性エッチング)の条件や低損失で高選択性の条件は、
当時ではほとんど満足できないものであった。
蒸着 プラズマ強化・化学蒸着法は、多数の用途において物
質を基板上に蒸着させるのに広範に用いられている技術
である。通常のCVDでは、化学反応は基板の温度により
進行し、ほとんどの反応では高温(800℃以上)であ
る。この基板での必要な高温のため、この方法は、多数
の用途、とくにミクロ電子工学、デイスプレイ、オプテ
ィカル・コーティングなどの用途において使用すること
ができなかった。プラズマの役割りは、化学物質のガス
を分解、活性化し、これにより基板の温度を低下させう
ることである。分解、活性化およびイオン可の速度は、
プラズマ密度に比例する。そのため、できるだけプラズ
マの密度を高くすることが重要である。
スパッタリング スパッタリングも、また硬質膜、化粧膜、ガラス膜な
どの製造等、広範で種々の用途について材料を基板上に
蒸着させる、広範に用いられている方法である。一般
に、プラズマは、スパッター・ターゲット材料において
生成されるが、このスパッター・ターゲットには、約70
0Vの陰電極にバイアスをかける。プラズマイオン類、一
般にはアルゴンは、表面に衝撃を加え、材料をスパッタ
リングし、次いでこれは、中性原子として基板上に輸送
される。反応性ガスは、反応性スパッター蒸着(reacti
ve sputter deposition)と呼ばれる方法で、ホスト基
板においてスパッタリング原子と化学反応するように、
導入できる。速度は、しばしば重要で、そのため、でき
るだけ密度の高いプラズマを生成することが重要であ
る。反応性ガスのイオン化も、また重要で、プラズマを
基板材料の付近で有することにより補助される。また、
スパッタリングは、イオンまたはプラズマガンにより促
進され次いでスパッター・ターゲットに衝撃を加えるイ
オンによってなされる。この場合、ターゲットのバイア
ス電圧は不要である。スパッタリング絶縁材料について
は、RF電圧バイアスを、スパッター・ターゲットに適用
することができる。
従来の方法 現時点では、プラズマ蒸着およびエッチング法とし
て、2つの広範に用いられている方法、平行板反応器お
よびECRプラズマ蒸着システムがある。
平行板反応器(ダイオード) RFダイオードは、蒸着およびエッチングの両方につい
て、広範に使用されている。これは、チャップマン[Ch
apman、「グロー・ディスチャージ・プロセス(Glow Di
scharge Processes)」、ジョン・アンド・サン、1980
年]による書物に詳細に記載されている。これは、RFを
13.56MHzで、一方の電極に容量的に結合すると共に他方
の電極を接地して、使用する。システムの圧力は、代表
的には、1ミリトル〜1トルで、プラズマ密度は、代表
的には1010電子/ccである。蒸着またはエッチングの両
方が生じる速度は、エッチングまたCVD法での蒸着に使
用される、反応性ガスの密度(圧力)とプラズマの密度
に依存する。
エッチングにおいて、放電に必要な高圧は、イオンと
バックグラウンド・ガスとの衝突を引き起こす。これ
は、エッチングイオンまたは原子の通路を無秩序にし、
また非指向的な誘導をもたらし、マスクのアンダーカッ
テイングにつながる。これは、異方性エッチングと呼ば
れる。エッチング原子またはイオンを指向的にさせ、こ
れにより直接的な等方性エッチングを達成できること
が、望ましい。RFダイオード放電に用いられる高圧で
は、等方性エッチングを達成するには、イオンは、高い
エネルギー(1KeV)を有することが必要である。しか
し、イオンの高いエネルギーは、基板、膜材料、ホトレ
ジストなどの損傷をもたらしうる。
プラズマは、カソードのイオン衝撃により放出された
二次電子により保持される。これらの電子は、代表的に
は400〜1000Vのシース横断電圧降下により、加速され
る。この高速電子は、基板に衝撃を与え、これにより、
高い電圧シース降下をもたらす。この高電圧は、イオン
を加速し、基板や膜材料の損傷をもたらす。高い電圧シ
ース降下につながる高エネルギー電子の存在は、望まし
くない。
電子サイクロトロン共鳴プラズマ 2.45GHzのマイクロ波と875ガウスの磁場を用い、電子
サイクロトロン共鳴を利用することの出現により、低圧
で高密度プラズマの生成が可能となった。プラズマエッ
チング用のこの技術の利点は、スズキ[Suzuki、文献
名:マイクロ波プラズマエッチング、34巻、10/11号、1
984年発行]により記載されている。低いガス圧(0.04
〜0.4Pa)および高いプラズマ密度(1.7〜7×1011電子
/cm3)にて高いエッチング速度での等方性エッチングが
達成された。
スズキ(米国特許第4101411号)は、ECRを用いるプラ
ズマエッチング装置を開示し、マツオ(米国特許第4401
054号)は、ECRを用いるプラズマ蒸着装置を記載する。
この技術は、平行板反応器に対し多くの点で望ましい
ものであるが、いくつかの制限がある。必要な磁場が非
常に高く(1〜2KG)、これは、重たく、電力を消費す
る電磁石を使用せねばならないことを意味する。最大密
度は、ある種の形態でのカットオフまたは他の形態での
反射のいずれかにより、1×1012電子/cm3の値に制限さ
れる。マイクロ波の生成・輸送用の電力供給および必要
なハードウエアーについての費用は、高い。均一性(ま
たはプラズマ外形の幅)も、非常に良好ではない。
本発明の目的は、低周波数ホイッスラーを用い、これ
により、前記した方法で可能であったものよりも大きな
密度のプラズマを生成させることである。プラズマ中で
の、ホイッスラー波伝導の物理現象は、以下に説明す
る。
ホイッスラー波 円柱形態では、これらの波は、一般にヘリコン波と呼
ばれる。古典的なヘリコン波は、リーマンおよびトーネ
マンにより調べられ、以下の式により決定される。
∇×=δB/δt,∇×=μ ,∇・=0× 0/en0,Ez=ηjz 式中、は電場、は磁場、は電流密度、 は真
空磁場、μは誘電率、eは1つの電子当りの電荷、n0
はプラズマ密度、ηはプラズマの抵抗率、尚、zはz軸
を示すを意味する。
チェンの導関数によれば、exp(i(m+kz−wt)形
の摂動が容易にわかり、η=0である場合、上記式は、
以下のように限定される。
+α =0 ここで、α=(w/k)(μ0en0/B0) 式中、=(α/μ)・、wは該波の角周波数、
kは波数(2π/λ)、λは波長を意味する。これらの
式は、円柱座標において解くことができ、分散関係式を
得ることができる。
mαJm(Ta)+TkaJ′m(Ta)=0 式中、Jmは、第1タイプのベッセル関数、J′mはそ
の独立変数に関する導関数、aはプラズマの半径、Tは
以下の式によって定義される横波の波数である。
T2=a2−k2 記憶すべき重要なことは、mが、B・exp(i(mθ
+k2z−wt))形の摂動のθ依存性を示すモード数であ
る。尚、k2zは、円柱座標におけるz軸方向の波数を無
次元にて示したものである。
J1(Ta)=0 (m=0) J1(Ta)=Tka/2α(J2−J0) (m=1) これは、簡単な関係式を導く。
[(w/Wc)(Wp2/C2kz2)]=1+(3.83/kg・a) 式中、m=0のモードについて、 Wc=サイクロトロン角周波数 Wp=プラズマ周波数 C=光速 kz=z軸方向における波数 上記導関数は、所望のモードを励起するためのアンテ
ナの設計方法を知る上で重要である。
理解すべき別の重要なメカニズムは、プラズマによる
波動の減衰である。ボスウエルによる文献によれば、電
子衝突による波動の減衰は、実験的に観察された結果を
説明することができない。しかし、チェンは、ランダウ
の減衰は実験的に観察された大きな減衰については理論
的であったと、決定している。ランダウの減衰は、波動
の相速度とほぼ等しい速度を有するプラズマ粒子によ
る、プラズマの非衝突・波動減衰である。波動によるか
かる粒子の移動では、急速に変動する電場は観察され
ず、したがって、該波動によりエネルギーを有効に変換
することができる。プラズマにおいて、波動よりも速い
電子および波動よりも遅い電子の両方が存在する。しか
し、マックスウエリアンの分布では、速い電子よりも遅
っくりしたものが多く存在し、したがって、逆の場合よ
りも、波動からエネルギーをとる多量の粒子が存在す
る。
ランダウの減衰による減衰速度は、ヘリコン波につい
てチェンにより算出されており(「HELICON WAVE PLASM
A SOURCES Francis F.Chen(ヘリコン波プラズマ源)フ
ランシス チエン著(1987年4月発行)」参照)、以下
のように示すことができる: ここに、ξ=w/(kzVth) C=光速 Wp=プラズマ周波数 式中、Vthはプラズマ電子の熱速度である。興味深い
ことは、減衰速度のkzの値に対する影響を示すことであ
る。なぜなら、それは、ξの厳格な関数だからである。
例えば、プラズマの密度を1012電子/cm3、電子温度を3e
Vおよび駆動周波数8MHzとすると、衝突減衰速度は0.065
で、ランダウ減衰速度はkz=0.25cm-1について0.6、kz
=0.125cm-1について0.0005である。明白であるが、ラ
ンダウ減衰は重要な減衰メカニズムであり、これは、波
数kzに大きく依存する。
ホイッスラー波のアンテナ励起 プラズマ生成用のホイッスラー波を励起するための直
アンテナ構造を選択するに際し、いくつかの重要なファ
クターがある。
a) 励起の頻度 b) 波動モード c) RFパワーのプラズマへのカップリング効率 (a)励起の頻度 波動の周波数は、Ωc<w<Wc(Ωcはイオンサイク
ロトロン周波数、eB0/Mi、Wcは電子サイクロトロン周波
数eB0/M)を満足するようなものとすべきである。尚、
Mは電子の質量を示し、Miはイオンの質量を示す。これ
らの波動は、電子サイクロトロン周波数よりも非常に低
いもので作動する低周波の波動である。市販の適用に関
し、別の重要な考慮は、工業標準周波数(例えば、13.5
6MHz)の使用である。次いで、拘束は磁場強度および用
いたガスのタイプにより決定される。
b)波動モード 重要なことは、波動の電場および磁場のモード構造を
理解し、これによりアンテナ配置の設計を最適にし、RF
パワーを波動励起に効率的にカップリングさせることで
ある。前記したように、2つの最も低いモードとしてm
=0とm=1のモードがある。第1図は、波動の電場
(m=0モード)を示す。この図は、波動の移動方向
(Z)に沿った種々の位置における環状面129上の電場
ベクトル128を示す。波長内では、電場は、純粋な放射
線128または純粋な方位線130とすることができ、1つの
平面131の電場は時計と逆回りである一方、平面132の電
場は半−波長離れ時計方向に回る。この物理現象の図か
ら、このモードを励起する最良の方法は、2つのループ
が半−波長(π/kz)(kzは前記した分散関係式による
ものと同じ)の間隔で離れていることである。第2図
は、波動電場のモード構造(m=1モード)を示す。図
示するように、Z方向に沿って波動が移動するにつれ
て、電場および磁場ベクトルに対し自然らせんピッチが
存在し、電場ベクトル133は、Z方向であるB0に沿って
移動するにつれて、右手感覚、すなわち時計方向に回転
する。この図面から、このモードを励起する最良の方法
は、らせん形アンテナが2π/kzのらせんピッチ(kzは
前記分散関係式と同じ)を有することである。
c)RFパワーのプラズマへのカップリング効率 プラズマ生成の効率は、RFエネルギーのプラズマへの
カップリングに依存する。前記したように、RFエネルギ
ー減衰の重要なメカニズムは、ランダウ減衰である。ホ
イッスラー波の相速度はw/kz(kzが該分散関係式と同
じ)により示され、プラズマ密度および真空磁場強度に
依存する。理想的には、波動の相速度は、イオン化した
いガスの最大イオン化電位付近とすべきである。m=0
モードの前記分散関係式から、以下のように示される。
n=αB0kz(T2+kz21/2 ここに、n=αB0kz2(T<<kz) すなわち、kzの値がより高ければ、密度がより高くな
る。しかし、波動の相速度は、w/kzで、したがって、kz
の増加は、波動により促進される電子のエネルギーを減
少させる。kzが高すぎると、電子のエネルギーは、イオ
ン化電位以下に低下する。そのため、kzのコントロール
により密度を増加させかつ電子温度をコントロールでき
ることが、重要である。
稠密プラズマ生成用のホイッスラー波のはじめての使
用は、ボスウエル(Boswell)により記載されている。
この刊行物において励起用に用いたタイプのアンテナを
第3a図に示した。このアンテナの形態は、オブチニコフ
(Ovchinnikov)により使用され、前記したものであ
る。このタイプのアンテナは、m=1モードを、磁場方
向B0と平行に走る導電体134を流れる電流により励起す
る。励起の周波数は8MHzである。10cmプラズマの密度状
態が、特に高密度に必要な高磁場強度において完全にピ
ークであることが、判明した。
これらの刊行物において、RFエネルギーのプラズマへ
の効率的なカップリングのメカニズムは、説明すること
ができない。チェンは、オーストラリアン・ナショナル
ユニバーシティ・レポート(Australian National Univ
ersity repart)で、そのメカニズムをランダウ減衰と
して説明している。
チェンは、1988年8月に提出の文献で、ホイッスラー
波を用いてアドバンスド・アクセレーターに使用される
稠密プラズマを生成するための装置を開示する。この装
置に使用のタイプのアンテナは、ボスウエルが使用のも
のと、それがm=1モードを励起する点で同じであり、
ナゴヤタイプIIIアンテナとして知られたタイプであ
る。このタイプのアンテナは、ワタリ(Watari(78))
の文献に説明されており、第3b図に示した。励起の周波
数は30MHzである。
(発明の概要) 本発明は、ホイッスラー波の利用により、プラズマエ
ッチング、蒸着およびスパッタリング装置に使用される
高密度のプラズマを生成することからなる。プラズマの
効率的な生成は、用いられるアンテナの形態に大きく依
存する。本発明には、m=0およびm=1モードを励起
し、また励起した波動の波数をコントロールするように
設計した2つの新規なアンテナ形態が包含される。これ
は、所定の入力電力に対し密度を最大にし、かつプラズ
マ中の電子の平均エネルギーまたは電子温度についてコ
ントロールする点で、重要なことが証明された。また、
本発明者らによれば、m=0のアンテナは、従来からの
設計よりもより均一なプラズマが得られ、かつm=1ア
ンテナのらせんピッチは、他のアンテナと比較して効率
を改善できることが、判明した。多数のエッチングおよ
び蒸着用途における使用に関し、均一なプラズマは重要
である。本発明では、1つまたは多数のプラズマ発生器
を、四方形の磁性バケットと共に用い、四方形基板の被
覆またはエッチング用の大きな四方形域全体に対し均一
な密度が得られる。本発明は磁場の拡大により、大きな
面積全体にわたる蒸着またはエッチングが可能である。
本発明は、直線形態の使用により、大きな基板を被覆ま
たはエッチングすることができる。また、本発明は、プ
ラズマ発生器を、高効率、高イオン電流イオン源として
の静電グリッドと共に使用する。本発明は、プラズマ発
生器を、材料の基板上へのスパッター蒸着用のスパッタ
ー・ターゲットと共に用いる。
(図面の簡単な説明) 第1図は、m=0モードの電磁波電場ベクトルの斜視
図、第2図は、m=1モードの電磁波電場ベクトルの斜
視図、第3a図は、従来のアンテナ装置の斜視図、第3b図
は、従来のアンテナ装置のRF電流を示す模式図、第4図
は、本発明の原理(m=0モード)によりつくられたア
ンテナの操作およびRF電流の原理を示す模式図、第5図
は、本発明の原理によりつくられたアンテナの斜視図で
あり、m=1モードの励起に用いられる第2形態の操作
原理およびRF電流を示す。第6図は、本発明の原理によ
りつくられたプラズマ蒸着装置またはエッチング装置の
基本的形態を示す模式図、第7図は、第4図に記載のア
ンテナを用い、第6図に示した本発明の磁場強度に対す
るプラズマ密度の関係を示すグラフ、第8図は第4図に
記載のループの分離に対するプラズマ密度の関係を示す
グラフ、第9図は、均一条件が重要な大きな環状域全体
にわたりプラズマ蒸着またはエッチングするために使用
される本発明の第2具体例を示す模式図、第9A図は、第
9図のラインA−Aに沿った断面図、第10図は、均一が
重要な大きな四方形域全体にわたり蒸着またはエッチン
グするための本発明の第3具体例を示す斜視図、第10A
図は、第10図のラインA−Aに沿った断面図、第11図
は、大きな面積の蒸着またはエッチング用の本発明の第
4具体例を示す模式図、第12図はイオンビーム生成用の
本発明の第4具体例、第13図は、スパッター蒸着用の本
発明の具体例の第6具体例を示す模式図、第14図は均一
性が重要な大きな面積の基材全体にわたるスパッター蒸
着用の本発明の第7具体例を示す側面図、第15図は、プ
ラズマ発生器をマグネトロンと共に使用するスパッター
蒸着用の本発明の第8具体例を示す側面図、第16図は、
スパッター蒸着用の本発明の第9具体例を示す模式図で
ある。
(好ましい具体例の記載) 本発明の第1原理の構造は、第4図に示すアンテナ形
態である。RF電流は、2つの循環ループを介し、一方の
ループの該電流が時計方向でもって通過するのに対し他
方のループの電流が反・時計方向でもって通過するよう
な方法で、通電する。これは、内部電極3と接地した他
の電極4の間にRF電圧を印加すること、および2つのル
ープを連結させるための導電体5を用いることにより、
達成される。ループ間の距離Lは、以下の分散関係式に
よって示されるようにプラズマ状態をマッチングさせる
べく調節する: [(w/Wc)(Wp2/C2kz2)]=1+(3.83/kz・a) C=光速 また、L=π/kz RF電圧は、RF電力供給源7から50オームのケーブル8
を介しマッチング・ボックス9に印加するが、これは、
2つの可変真空キャパシター10と11からなり、これら
は、アンテナの負荷を50オームに近づけてレフレックス
パワーを最小にするように同調される。
第5図は、アンテナの第2配置を示す。この配置で
は、RF電流路は、電流が2つのらせん形通路12と13を流
れるように、変形される。
第6図は、本発明のプラズマ蒸着またはエッチング装
置の基本形態を示す。プラズマ発生チャンバー14は円柱
形で、石英またはパイレックスのような非導電性材料か
らなる。アンテナ15は図示するように載置され、4図ま
たは5図に記載のようなタイプのものとできる。軸方向
の磁場は、磁場コイル16と17で形成される。プラズマ
は、磁場により別のプロセスチャンバー18に輸送し、プ
ラズマの形状は、磁場コイル19の電流の調節によりコン
トロールすることができる。被覆またはエッチングされ
る基板20は、電気的に分離される基板ホルダー21にのせ
る。基板20を攻撃するプラズマにより、該基板20は10〜
20Vの負の自己バイアスに達する。形成されるある種の
膜については、該膜を、それらが自己バイアスにより得
られるよりも大きなエネルギーのイオンにより攻撃する
ことが有利である。上記膜として、具体的には例えば半
導体プロセスにおけるエピタキシャル層が相当する。こ
の場合、RF電力を第2RF源23から第2マッチング回路24
を介し適用することが必要である。基材ホルダー21は、
銅製ブロックであり、これは、加熱/冷却回路22によ
り、冷却または加熱される。ガスは、2つの位置25と26
に注入する。25は、プラズマ発生チャンバー14に連結さ
れたステンレス・スチール・チューブである。26は、ス
テンレス・スチール・リングで、その直径は、該リング
周囲に等間隔で配置されるホルダー27の径よりも大き
い。この配置は、均一なガス流を基板20に向けさせるよ
なものである。このマッチング回路は、プラズマ内にカ
ップリングさせるパワーを最大にしかつ50オームのケー
ブル29に沿ってRF電力供給源30まで反射して戻るパワー
を最小にするのに、必要である。
この基本形態を用いることにより、1/1013cm3までの
プラズマ密度を生成することができる。7図は、プラズ
マ密度を磁場の関数として示す。この実験では、4図記
載のアンテナを用いた。この場合に励起したモードはm
=0モードである。プラズマ発生器の直径は10cmで、コ
イルの間隔は15cmである。RFは周波数13.56MHzで、市販
のRF電力供給源を用い、マッチングボックスを介して供
給する(4図参照)。この実験で用いたガスはアルゴン
で、圧力は1.5ミリトル(mTorr)である。反射パワー
は、供給電力2.4KWの1%以下に同調した。
波数kをコントロールするには、ループ間の間隔が重
要なことが証明された。8図は、所定のRF電力、磁場お
よび圧力に関する。ループ間隔のプラズマ密度依存性を
示す。圧力は2ミリトルで、磁場は650ガウスで、RF電
力は2.75KWである。この実施例では、最適なループ間隔
は約125mmであった。
9図は、大面積について高度な均一性が必要な装置を
示す。プラズマ発生チャンバー31は、円柱形で、石英や
パイレックスのような非導電性材料からなる。アンテナ
32は、図示のごとく載置され、4または5図記載のよう
なタイプとできる。軸方向の磁場は、磁気コイル33と34
で形成する。プラズマは磁場により磁気バケット・チャ
ンバー35に輸送する。
アール、ライムペーチャーおよびケイ・アール、マッ
ケンジー[R.Limpaecher and K.R.Mackenzie、レブ・ス
イック・インストラム(Rev.Sci.Instrum.)、44726
号、1973年]の文献は、マルチカスプ装置における磁気
の使用は、該磁気により閉じ込められた容量の中央域に
おいて非常に均一なプラズマパラメーターが得られる
旨、開示する。円柱体周囲の磁気の装置は、イオン源に
用いられる装置と共通であり、磁気バケットと呼ばれ
る。磁場は、コイル34により得られる軸方向の磁場とバ
ケットの磁場の間で良好なマッチングが得られるように
設計することが重要である。発生器からのプラズマは、
磁場ラインに沿って拡散、伸張して、バケットを満たす
磁気バケット・チャンバー35は、ステンレス・スチール
製で、例えば環状または四辺形断面とすることができ
る。いずれの場合も、バケットの寸法は、プラズマ発生
器の直径よりも大きい。バケット内の磁場は、永久磁石
によって得られ、これらは、バケット・チャンバー表面
に対し垂直な極を有するように配置され、N(北)極と
S(南)極は交互に変わる。この装置では、磁場ライン
37はマルチカスプ・パターンに従い、中央域の磁場は非
常に低い。磁石によって得られる磁場パターンは、ライ
ンA−Aで示す。被覆またはエッチングされる基板38
は、基板ホルダー39に載置する。基板ホルダーは、加熱
/冷却回路40により冷却または加熱される銅製ブロック
である。ガスは、2つの位置41と42に注入する。43は、
プラズマ生成チャンバー31に連結されたステンレス・ス
チール・チューブである。ステンレス・スチール・リン
グ44は、該リング44周囲に等しく配置されたホール45の
孔よりも大きい直径を有する。この装置は、均一なガス
流を基板38に向けさせるようなものとする。RF電圧は、
4図に示すような2つの真空キャパシター10と11からな
るマッチング回路46の手段を介し、アンテナ32に適用す
る。このマッチング回路は、電力プラズマ内へのカップ
リングの最大化と、該電力の、50オームのケーブル47を
介するRF電力供給源48までの反射の最小化に、必要であ
る。
10図は、大きな四辺形域にわたる高度な均一性が必要
な場合(例えばディスプレイの被覆の場合)の装置であ
る。プラズマ発生器は前記したタイプで、この装置で
は、多数の発生器を必要なプラズマの寸法に応じ使用す
ることができる。10図では、3つのプラズマ発生器49、
50と51を示す。各プラズマ生成チャンバーは、円柱形
で、石英またはパイレックスのような非導電性材料から
つくられる。各発生器に用いられるアンテナ52、53と54
は、4または5図に示したタイプである。軸方向の磁場
は、磁場コイルイ55、56、57、58、59と60により得られ
る。プラズマは、四辺形磁気バケット・チャンバー61に
磁場により輸送される。プラズマは、磁場ラインに沿っ
て拡散し、伸張してバケットを満たす。バケット・チャ
ンバー61内の磁場は、バケット・チャンバーの表面と垂
直の極を有するように配置された永久磁石により得ら
れ、これらN極とS極は交互に変化する。磁石によって
得られる磁場パターンは、ラインA−Aで示す。被覆ま
たはエッチングされる基板63は、電気的に分離された基
板ホルダー64に載置する。基板を攻撃するプラズマによ
り、該基板は、10〜30Vの負の自己バイアスに達する。
形成されるある種の膜に関し、ある種のエッチング適用
では、基板63は、活動的なイオンで攻撃することが有利
である。この場合、RF電力を第2RF電力供給源から第2
マッチング回路を介し基板ホルダー64に適用せねばなら
ない。基板ホルダー64は、銅製で、加熱/冷却回路65で
冷却または加熱する。
11図は、大面積基板のエッチングまたは被覆に適した
本発明の別の具体例である。2つのプラズマ発生器66と
67は、円柱状プロセス・チャンバー68の各端部において
共通の軸に沿って載置する。各プラズマ発生器は、前記
と同じで、4または5図のアンテナを使用できる。磁場
コイル69、70と71は、プロセス・チェンバー68の軸に沿
った均一な軸方向磁場を形成する。各発生器内の生成プ
ラズマは、磁場ラインに沿って拡散するが、プラズマ電
子の高い移動度のため、密度は、プロセス・チェンバー
の長さに沿って均一となる。プロセス・チェンバーのプ
ラズマの寸法は、磁場コイル69、70と71の電流を変化さ
せることにより該プロセス・チェンバーの磁場を調節す
ることで、コントロールまたは変化させることができ
る。プロセス・チェンバー内の磁場を発生器内よりも弱
めると、プラズマ72は、膨張し、発生器よりもプロセス
・チェンバーの方が大きい直径を有することができる。
基板73は、基板ホルダー上に載置し、プロセス・チェン
バーの円周の周囲に位置する。
12図は、本発明の別の具体例である。プラズマ発生器
チャンバー74は、円柱形で、石英またはパイレックスの
ような非導電性材料からつくられる。アンテナ75は、図
示のごとく載置し、4または5図のタイプのものとでき
る。軸方向磁場は、磁場コイル76と77で得られる。プラ
ズマは、磁場により磁気バケット・チャンバー78に輸送
する。磁場は、コイル77により得られる軸方向の磁場と
バケットの磁場の間で良好なマッチングが得られるよう
に設計することが重要である。発生器からのプラズマ
は、磁場ラインに沿って拡散、膨張してバケットを満た
す。磁気バケット・チャンバー78は、ステンレス・スチ
ールからつくられ、環状または四辺形断面とできる。い
ずれの場合も、バケットの直径は、プラズマ発生器の直
径よりも大きい。バケット内の磁場は、N極とS極が交
互に変わる該バケットの表面に垂直な極となるように、
配置する。この装置では、磁場ライン80は、マルチカス
プ・パターンに従い、中央域の磁場強度は、非常に低
い。一連の電極81、82および83は、イオンビームの磁気
バケットのプラズマからの抽出に使用される。各電極
は、絶縁体84、85と86により電気的に分離される。
13図は、基板上にスパッター蒸着するのに適した本発
明の別の具体例である。プラズマ発生器87は、プロセス
・チェンバー95の側面に載置する。プラズマ発生器は、
前記と同じで、4または5図のようなアンテナ98を使用
できる。磁場コイル88と89により、発生器内の軸方向磁
場を得る。磁場コイル90と91は、コイル88と89にマッチ
ングされるプロセス・チェンバー95内の軸方向磁場を形
成する。プラズマ93は、スパッタリング用の負電圧にバ
イアスすることができるスパッター・ターゲット92への
プラズマ発生器87からの磁場ラインに従う。プラズマ
は、磁場コイル99と、コイル90および91の協働作用によ
り、ターゲット92に適合する。材料は、ターゲット92か
ら、電力源100からの負電圧を該ターゲットにかけてス
パッタリングし、次いで基板94に蒸着させる。電力供給
源100は、金属ターゲット用のDCまたは誘電体用のRFと
できる。バッフル96は、ガス流量のコントロール用に導
入できる。各蒸着プロセスにおいて、各ガスは、別々の
ガス注入システムを介し97により導入することができ
る。
14図は、本発明のRFプラズマ発生システムをスパッタ
ー・ターゲットと共に用いるのに適した、本発明の別の
具体例を示す。プラズマ発生器101、そのアンテナ102、
その磁場コイル105と106は、前記と同じであるが、プラ
ズマ発生システムは、10図に記載のように、1またはそ
れ以上である。プラズマ生成チャンバーは、円柱形で、
石英またはパイレックスのような非導電性材料からつく
られる。アンテナは、4または5図に記載のタイプとで
きる。プラズマは、磁場に沿って磁気バケット・プロセ
ス・チェンバー105内に輸送するが、チャンバー105は、
ステンレス・スチール製で、10図に記載のように環状ま
たは四辺形とできる。磁場は、コイル104により得られ
る軸方向の磁場とバケットの磁場の間に良好なマッチン
グが得られるように設計することが重要である。いずれ
の場合も、バケットの直径はプラズマ発生器の直径より
も大きいものとする。バケット内の磁場は、N極とS極
が交互に変わるバケット・チャンバー面と垂直な極でも
って配置する。磁気バケット・チャンバー内には、基板
ホルダー108上に載置された基板107が位置する。プラズ
マは、バケットを実質的に均一に満たし、反応性ガスの
ような他のガスは、109においてリング110により導入す
ることができる。また、バケット内には、環状または四
辺形スパッター・ターゲット111が位置する。バイアス
電圧をターゲットに印加する場合、バケットのプラズマ
からのイオンは、ターゲットに適用した電圧と等しいエ
ネルギーで、ターゲットを攻撃する。スパッター材料
は、該材料が109から導入したガスおよび/またはプラ
ズマ発生器112からのガスと反応する基板197に蒸着す
る。
15図は、14図の具体例と実質的に同様な本発明の別の
具体例を示す。ただし、14図のスパッター・ターゲット
111は、15図のマグネトロン・スパッター・ターゲット1
13と置換した。プラズマ発生器114から出るプラズマ
は、磁気バケット・プロセス・チャンバー115内に入
り、該チャンバーを満たす。スパッター・ターゲット11
3は、環状または四辺形とでき、また水平または角度を
つけることができる。バイアス電圧をかけた場合、スパ
ッターリングは、ターゲットで増大し、スパッターリン
グした材料は、基板115に蒸着する。15図における全て
残りのシステムは、14図に表示したものと同じである。
16図は、本発明の別の具体例である。プラズマ発生器
チャンバーは、円柱形で、石英またはパイレックスのよ
うな非導電性材料からなる、アンテナ117は、前記と同
じで、4または5図に記載のタイプとできる。軸方向の
磁場は、磁場コイル118、119、120および121により得ら
れる。プラズマ124は、プラズマ発生器からプロセス・
チェンバー122に輸送し、磁場に沿って高い負電圧を
(約700V)にバイアスしたスパッター・ターゲット81に
流れる。プラズマの直径は、磁場のコントロールにより
ターゲットの直径と適合するようなものとする。ターゲ
ットからスパッターリングした材料は、基板125に蒸着
する。バッフル126は、基板付近のガス圧をコントロー
ルするのに用い、他のガスは、基板付近に出口127を介
し導入することができる。基板は、基板ホルダー上に載
置し、スパッター・ターゲット上方に位置する。基板ホ
ルダーは、プラズマを磁場に沿ってプラズマ発生器から
スパッター・ターゲットに適合させるようなホールを有
する。
【図面の簡単な説明】
第1図は、m=0モードの電磁波電場ベクトルの斜視
図、第2図は、m=1モードの電磁波電場ベクトルの斜
視図、第3a図は、従来のアンテナ装置の斜視図、第3b図
は、従来のアンテナ装置のRF電流を示す模式図、第4図
は、本発明の原理(m=0モード)によりつくられたア
ンテナの操作およびRF電流の原理を示す模式図、第5図
は、本発明の原理によりつくられたアンテナの斜視図で
あり、m=1モードの励起に用いられる第2形態の操作
原理およびRF電流を示す。第6図は、本発明の原理によ
りつくられたプラズマ蒸着装置またはエッチング装置の
基本的形態を示す模式図、第7図は、第4図に記載のア
ンテナを用い、第6図に示した本発明の磁場強度に対す
るプラズマ密度の関係を示すグラフ、第8図は第4図に
記載のループの分離に対するプラズマ密度の関係を示す
グラフ、第9図は、均一条件が重要な大きな環状域全体
にわたりプラズマ蒸着またはエッチングするために使用
される本発明の第2具体例を示す模式図、第9A図は、第
9図のラインA−Aに沿った断面図、第10図は、均一が
重要な四方形域全体にわたり蒸着またはエッチングする
ための本発明の第3具体例を示す斜視図、第10A図は、
第10図のラインA−Aに沿った断面図、第11図は、大き
な面積の蒸着またはエッチング用の本発明の第4具体例
を示す模式図、第12図はイオンビーム生成用の本発明の
第4具体例、第13図は、スパッター蒸着用の本発明の具
体例の第6具体例を示す模式図、第14図は均一性が重要
な大きな面積の基材全体にわたるスパッター蒸着用の本
発明の第7具体例を示す側面図、第15図は、プラズマ発
生器をマグネトロンと共に使用するスパッター蒸着用の
本発明の第8具体例を示す側面図、第16図は、スパッタ
ー蒸着用の本発明の第9具体例を示す模式図である。 図面中、主な符号はつぎのものを意味する。 ケーブル:8、マッチング・ボックス:9、可変真空キャパ
シター:10および11、らせん形通路:12および13、プラズ
マ発生チャンバー:14、アンテナ:15、磁場コイル:16お
よび17、プロセスチャンバー:18、磁場コイル:19、基
板:20、基板ホルダー:21、加熱/冷却回路:22、RF源:2
3、マッチング回路:24、位置:25と26、ホルダー:27、ケ
ーブル:29、RF電力供給源:30、プラズマ発生チャンバ
ー:31、アンテナ:32、磁気コイル:33と34、磁気バケッ
ト・チャンバー:35、磁場ライン:37、基板:38、基板ホ
ルダー:39、加熱/冷却回路:40、位置:41と42、ステン
レス・スチール・リング:44、ホールド:45、マッチング
回路:46、ケーブル:47、プラズマ発生器:49、50と51、
アンテナ52、53と54、磁場コイル:55、56、57、58、59
と60、磁気バケット・チャンバー:61、基板:63、基板ホ
ルダー64、加熱/冷却回路:65、プラズマ発生器:66と6
7、プロセス・チャンバー:68、磁場コイル:69、70と7
1、プラズマ:72、プラズマ発生器チャンバー:74、アン
テナ:75、磁場コイル:76と77、磁気バケット・チャンバ
ー:78、磁場ライン:80、電極:81、82および83、絶縁体:
84、85と86、プラズマ発生器:87、プロセス・チェンバ
ー:95、アンテナ:98、磁場コイル:99、電力供給源:10
0、プラズマ発生器:101、アンテナ:102、磁場コイル:10
5と106、基板:107、基板ホルダー:108、リング:110、ス
パッター・ターゲット:111、マグネトロン・スパッター
・ターゲット:113、プラズマ発生器:114、磁気バケット
・プロセス・チャンバー:115、アンテナ:117、磁場コイ
ル:118、119、120および121、プラズマ:124、基板:12
5、バッフル:126、出口:127
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.6 識別記号 庁内整理番号 FI 技術表示箇所 H01L 21/3065 H05H 1/46 9216−2G (72)発明者 ショウジ タツオ 愛知県名古屋市瑞穂区陽明町105番 (56)参考文献 特表 昭62−502786(JP,A)

Claims (5)

    【特許請求の範囲】
  1. 【請求項1】ガスをプラズマ発生器チャンバー内に導入
    して、上記プラズマ発生器チャンバーを取り囲むアンテ
    ナにより形成したRF電場および磁場コイルにより形成し
    た軸方向の磁場の作用によって上記ガスをプラズマに変
    換し、次いで得られたプラズマを、該磁場に沿って別の
    プロセス・チャンバー内へ次いで基体上に輸送し、ここ
    において、膜を形成するかまたは存在する膜をエッチン
    グして取り去るプラズマ蒸着またはエッチング装置にお
    いて、 上記アンテナに電磁波エネルギーを、上記アンテナの実
    質的に平面の第1循環ループ内で第1角度方向に流す手
    段と、 上記アンテナに上記電磁波エネルギーを、上記アンテナ
    の実質的に平面の第2循環ループ内で上記第1角度方向
    と反対の第2角度方向に流す手段と、 をさらに備え、上記アンテナの上記第1循環ループは上
    記アンテナの上記第2循環ループに実質的に平行であ
    り、上記アンテナの上記第1及び第2循環ループは上記
    プラズマ発生器チャンバーの長手方向軸に垂直であり、
    上記アンテナの上記第1循環ループは上記アンテナの上
    記第2循環ループから距離L離れ、上記Lはπ/kzにて
    定義され,kzは以下の式を満足するように定義される、
    プラズマ蒸着またはエッチング装置: [(w/Wc)(Wp2/C2kz2)]=1+(3.83/kz・a) ここで、w:角周波数、Wc:サイクロトロン角周波数、 Wp:プラズマ周波数、C:光速、kz:z軸方向における波
    数、 a:プラズマ半径。
  2. 【請求項2】RFパワーを上記アンテナに適用するための
    手段と、 上記プラズマ発生器チャンバーに連結し真空ポンプで排
    気し基体・載置用の電気的に絶縁された基体ホルダーを
    収納する、プロセス・チャンバーと、 ガスを上記プロセス・チャンバー内に放出するためのガ
    ス注入装置と、 上記プラズマ発生器チャンバーの軸方向磁場を形成する
    ための磁気コイル手段、および該発生器チャンバーのプ
    ラズマを上記プロセス・チャンバー内へ次いで上記基体
    に輸送するための付加的な磁気コイルと、 をさらに備えた、請求項1記載のプラズマ蒸着またはエ
    ッチング装置。
  3. 【請求項3】上記プラズマ発生器チャンバーに隣接して
    プラズマからイオンを抽出するためのイオン抽出電極を
    備えた、請求項1記載のプラズマ蒸着またはエッチング
    装置。
  4. 【請求項4】流体を上記プラズマ発生器チャンバー内に
    導入し、これによりプラズマを上記プラズマ発生器チャ
    ンバー内で生成する第1流体インゼクターと、 上記軸方向の磁場を形成する磁場発生器と、 上記磁場によりプラズマを輸送するプロセス・チャンバ
    ーと、 流体を上記プロセス・チャンバーに導入する第2流体イ
    ンゼクターと、 上記磁場発生器の電流をコントロールし、これによりプ
    ラズマの形状をコントロールする電流コントローラー
    と、 プロセス・チャンバー内に位置する基体ホルダーと、お
    よび 上記基体ホルダー上に載置され、これにより該基体はプ
    ラズマにさらされる基体と、 をさらに備えた、請求項1記載のプラズマ蒸着またはエ
    ッチング装置。
  5. 【請求項5】(a)プラズマ発生器チャンバーを取り囲
    むアンテナから電磁波エネルギーを上記プラズマ発生器
    チャンバー内へ照射し、 (b)上記アンテナに電磁波エネルギーを、上記アンテ
    ナの実質的に平面の第1循環ループ内で第1角度方向に
    流し、 (c)上記アンテナに上記電磁波エネルギーを、上記ア
    ンテナの実質的に平面の第2循環ループ内で上記第1角
    度方向と反対の第2角度方向に流し、ここで上記アンテ
    ナの上記第1循環ループは上記アンテナの上記第2循環
    ループに実質的に平行であり、上記アンテナの上記第1
    及び第2循環ループは上記プラズマ発生器チャンバーの
    長手方向軸に垂直であり、上記アンテナの上記第1循環
    ループは上記アンテナの上記第2循環ループから距離L
    離れ、上記Lはπ/kzにて定義され,kzは以下の式を満足
    するように定義され、 [(w/Wc)(Wp2/C2kz2)]=1+(3.83/kz・a) ここで、w:角周波数、Wc:サイクロトロン角周波数、 Wp:プラズマ周波数、C:光速、kz:z軸方向における波
    数、 a:プラズマ半径、 (d)第1ガスを照射プラズマ発生器チャンバー内に注
    入し、これによりプラズマを生成し、 (e)可変磁場をプラズマ発生器チャンバー付近に生成
    し、該可変磁場によりプラズマを操作し、 (f)得られたプラズマを隣接プロセス・チャンバーに
    輸送し、 (g)第2ガスをプロセス・チャンバーに注入し、次い
    で (h)プロセス・チャンバー内の基体をプラズマで攻撃
    し、これにより該プラズマで上記基体を被覆するかまた
    はエッチングする、 工程を備えたプラズマ処理方法。
JP2154989A 1989-06-13 1990-06-13 高密度プラズマ蒸着およびエッチング装置 Expired - Lifetime JPH0814026B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US365,533 1989-06-13
US07/365,533 US4990229A (en) 1989-06-13 1989-06-13 High density plasma deposition and etching apparatus

Publications (2)

Publication Number Publication Date
JPH0368773A JPH0368773A (ja) 1991-03-25
JPH0814026B2 true JPH0814026B2 (ja) 1996-02-14

Family

ID=23439252

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2154989A Expired - Lifetime JPH0814026B2 (ja) 1989-06-13 1990-06-13 高密度プラズマ蒸着およびエッチング装置

Country Status (5)

Country Link
US (1) US4990229A (ja)
EP (1) EP0403418B1 (ja)
JP (1) JPH0814026B2 (ja)
AT (1) ATE212779T1 (ja)
DE (1) DE69033908T2 (ja)

Families Citing this family (262)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5421891A (en) * 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5429070A (en) * 1989-06-13 1995-07-04 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5234560A (en) * 1989-08-14 1993-08-10 Hauzer Holdings Bv Method and device for sputtering of films
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US6068784A (en) * 1989-10-03 2000-05-30 Applied Materials, Inc. Process used in an RF coupled plasma reactor
US5210466A (en) * 1989-10-03 1993-05-11 Applied Materials, Inc. VHF/UHF reactor system
JP2581255B2 (ja) * 1990-04-02 1997-02-12 富士電機株式会社 プラズマ処理方法
JPH0436465A (ja) * 1990-06-01 1992-02-06 Matsushita Electric Ind Co Ltd マイクロ波プラズマ発生装置
US6251792B1 (en) 1990-07-31 2001-06-26 Applied Materials, Inc. Plasma etch processes
US5707486A (en) * 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US20020004309A1 (en) * 1990-07-31 2002-01-10 Kenneth S. Collins Processes used in an inductively coupled plasma reactor
US6444137B1 (en) 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
US5173641A (en) * 1990-09-14 1992-12-22 Tokyo Electron Limited Plasma generating apparatus
US5208512A (en) * 1990-10-16 1993-05-04 International Business Machines Corporation Scanned electron cyclotron resonance plasma source
JPH0817171B2 (ja) * 1990-12-31 1996-02-21 株式会社半導体エネルギー研究所 プラズマ発生装置およびそれを用いたエッチング方法
US5707692A (en) * 1990-10-23 1998-01-13 Canon Kabushiki Kaisha Apparatus and method for processing a base substance using plasma and a magnetic field
US5178739A (en) * 1990-10-31 1993-01-12 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
JP2519364B2 (ja) * 1990-12-03 1996-07-31 アプライド マテリアルズ インコーポレイテッド Uhf/vhf共振アンテナ供給源を用いたプラズマリアクタ
JP2543642B2 (ja) * 1991-01-18 1996-10-16 アプライド マテリアルズ インコーポレイテッド 高周波交流電気エネルギ―と相対的に低い周波数の交流電気的エネルギ―を有する、工作物を処理するためのシステムおよび方法
US6090303A (en) * 1991-06-27 2000-07-18 Applied Materials, Inc. Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6518195B1 (en) 1991-06-27 2003-02-11 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
US6514376B1 (en) 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5772832A (en) * 1991-06-27 1998-06-30 Applied Materials, Inc Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US6036877A (en) 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
JP2635267B2 (ja) * 1991-06-27 1997-07-30 アプライド マテリアルズ インコーポレイテッド Rfプラズマ処理装置
US5888414A (en) * 1991-06-27 1999-03-30 Applied Materials, Inc. Plasma reactor and processes using RF inductive coupling and scavenger temperature control
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US5198725A (en) * 1991-07-12 1993-03-30 Lam Research Corporation Method of producing flat ecr layer in microwave plasma device and apparatus therefor
US5480533A (en) * 1991-08-09 1996-01-02 Matsushita Electric Industrial Co., Ltd. Microwave plasma source
JP3042127B2 (ja) * 1991-09-02 2000-05-15 富士電機株式会社 酸化シリコン膜の製造方法および製造装置
JP2799090B2 (ja) * 1991-09-09 1998-09-17 シャープ株式会社 イオン注入装置
JPH0735025B2 (ja) * 1991-11-30 1995-04-19 北陽産業株式会社 レンチ筒が上下に逆転可能なレンチ
US5280154A (en) * 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5490910A (en) * 1992-03-09 1996-02-13 Tulip Memory Systems, Inc. Circularly symmetric sputtering apparatus with hollow-cathode plasma devices
US5232569A (en) * 1992-03-09 1993-08-03 Tulip Memory Systems, Inc. Circularly symmetric, large-area, high-deposition-rate sputtering apparatus for the coating of disk substrates
US5201995A (en) * 1992-03-16 1993-04-13 Mcnc Alternating cyclic pressure modulation process for selective area deposition
DE4208764C2 (de) * 1992-03-19 1994-02-24 Kernforschungsz Karlsruhe Gasgefüllter Teilchenbeschleuniger
US5302266A (en) * 1992-03-20 1994-04-12 International Business Machines Corporation Method and apparatus for filing high aspect patterns with metal
US5361016A (en) * 1992-03-26 1994-11-01 General Atomics High density plasma formation using whistler mode excitation in a reduced cross-sectional area formation tube
US5225740A (en) * 1992-03-26 1993-07-06 General Atomics Method and apparatus for producing high density plasma using whistler mode excitation
US5397962A (en) * 1992-06-29 1995-03-14 Texas Instruments Incorporated Source and method for generating high-density plasma with inductive power coupling
JPH06192830A (ja) * 1992-07-31 1994-07-12 Texas Instr Inc <Ti> 材料層の物理的蒸気沈着のための方法と装置
US5346600A (en) * 1992-08-14 1994-09-13 Hughes Aircraft Company Plasma-enhanced magnetron-sputtered deposition of materials
DE4235064A1 (de) * 1992-10-17 1994-04-21 Leybold Ag Vorrichtung zum Erzeugen eines Plasmas mittels Kathodenzerstäubung
KR100281345B1 (ko) 1992-12-01 2001-03-02 조셉 제이. 스위니 전자기 결합성 플래너 플라즈마 장치에서의 산화물 에칭 공정
KR100238627B1 (ko) * 1993-01-12 2000-01-15 히가시 데쓰로 플라즈마 처리장치
DE69422079T2 (de) * 1993-04-05 2000-05-25 Canon Kk Herstellungsverfahren für optischen Aufzeichnungsträger
US5359621A (en) * 1993-05-11 1994-10-25 General Atomics High efficiency gas laser with axial magnetic field and tunable microwave resonant cavity
EP0707663A4 (en) * 1993-06-17 1998-01-14 Deposition Sciences Inc SPRAYING DEVICE
FR2707449B1 (fr) * 1993-07-05 1995-08-11 Cit Alcatel Réacteur à plasma pour un procédé de dépôt ou de gravure.
US5457298A (en) * 1993-07-27 1995-10-10 Tulip Memory Systems, Inc. Coldwall hollow-cathode plasma device for support of gas discharges
US5430355A (en) * 1993-07-30 1995-07-04 Texas Instruments Incorporated RF induction plasma source for plasma processing
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5418431A (en) * 1993-08-27 1995-05-23 Hughes Aircraft Company RF plasma source and antenna therefor
FR2709397B1 (fr) * 1993-08-27 1995-09-22 Cit Alcatel Réacteur à plasma pour un procédé de dépôt ou de gravure.
JPH07142463A (ja) * 1993-11-22 1995-06-02 Nec Corp 半導体装置の製造方法と製造装置
US5584938A (en) * 1993-12-10 1996-12-17 Texas Instruments Incorporated Electrostatic particle removal and characterization
US5557172A (en) * 1993-12-21 1996-09-17 Sumitomo Heavy Industries, Ltd. Plasma beam generating method and apparatus which can generate a high-power plasma beam
EP0665307A3 (en) * 1994-01-27 1997-04-09 Canon Sales Co Inc CVD apparatus for film production and manufacturing process.
JP3279038B2 (ja) * 1994-01-31 2002-04-30 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
DE4403125A1 (de) * 1994-02-02 1995-08-03 Fraunhofer Ges Forschung Vorrichtung zur Plasmaerzeugung
AUPM365594A0 (en) * 1994-02-02 1994-02-24 Australian National University, The Method and apparatus for coating a substrate
TW288253B (ja) * 1994-02-03 1996-10-11 Aneruba Kk
JP3365067B2 (ja) * 1994-02-10 2003-01-08 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
US5449433A (en) * 1994-02-14 1995-09-12 Micron Semiconductor, Inc. Use of a high density plasma source having an electrostatic shield for anisotropic polysilicon etching over topography
JPH07268622A (ja) * 1994-03-01 1995-10-17 Applied Sci & Technol Inc マイクロ波プラズマ付着源
US5783100A (en) * 1994-03-16 1998-07-21 Micron Display Technology, Inc. Method of high density plasma etching for semiconductor manufacture
TW254030B (en) * 1994-03-18 1995-08-11 Anelva Corp Mechanic escape mechanism for substrate
JP2720420B2 (ja) * 1994-04-06 1998-03-04 キヤノン販売株式会社 成膜/エッチング装置
US5620523A (en) * 1994-04-11 1997-04-15 Canon Sales Co., Inc. Apparatus for forming film
TW293231B (ja) * 1994-04-27 1996-12-11 Aneruba Kk
DE69531880T2 (de) 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
US5587038A (en) * 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
JP3419899B2 (ja) * 1994-07-26 2003-06-23 東京エレクトロン株式会社 スパッタリング方法及びスパッタリング装置
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5777289A (en) * 1995-02-15 1998-07-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
JP3483327B2 (ja) 1994-11-29 2004-01-06 アネルバ株式会社 プラズマ処理方法
US5643639A (en) * 1994-12-22 1997-07-01 Research Triangle Institute Plasma treatment method for treatment of a large-area work surface apparatus and methods
JP3426382B2 (ja) * 1995-01-24 2003-07-14 アネルバ株式会社 プラズマ処理装置
US5667650A (en) * 1995-02-14 1997-09-16 E. I. Du Pont De Nemours And Company High flow gas manifold for high rate, off-axis sputter deposition
US6270617B1 (en) 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
JP3553688B2 (ja) * 1995-05-10 2004-08-11 アネルバ株式会社 プラズマ処理装置及びプラズマ処理方法
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5653811A (en) * 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US6238533B1 (en) 1995-08-07 2001-05-29 Applied Materials, Inc. Integrated PVD system for aluminum hole filling using ionized metal adhesion layer
US5962923A (en) * 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US6264812B1 (en) 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
US5810932A (en) * 1995-11-22 1998-09-22 Nec Corporation Plasma generating apparatus used for fabrication of semiconductor device
US5936352A (en) * 1995-11-28 1999-08-10 Nec Corporation Plasma processing apparatus for producing plasma at low electron temperatures
US5686796A (en) * 1995-12-20 1997-11-11 International Business Machines Corporation Ion implantation helicon plasma source with magnetic dipoles
US5767628A (en) * 1995-12-20 1998-06-16 International Business Machines Corporation Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel
US6471822B1 (en) 1996-01-24 2002-10-29 Applied Materials, Inc. Magnetically enhanced inductively coupled plasma reactor with magnetically confined plasma
TW303480B (en) 1996-01-24 1997-04-21 Applied Materials Inc Magnetically confined plasma reactor for processing a semiconductor wafer
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
GB9602948D0 (en) * 1996-02-13 1996-04-10 Boc Group Plc Thin film deposition
DE19606375A1 (de) * 1996-02-21 1997-08-28 Balzers Prozes Systeme Gmbh Plasmaquelle mit eingekoppelten Whistler- oder Helikonwellen
US5669975A (en) * 1996-03-27 1997-09-23 Sony Corporation Plasma producing method and apparatus including an inductively-coupled plasma source
EP0799903A3 (en) 1996-04-05 1999-11-17 Applied Materials, Inc. Methods of sputtering a metal onto a substrate and semiconductor processing apparatus
EP0805475B1 (en) * 1996-05-02 2003-02-19 Tokyo Electron Limited Plasma processing apparatus
US6368469B1 (en) * 1996-05-09 2002-04-09 Applied Materials, Inc. Coils for generating a plasma and for sputtering
KR100489918B1 (ko) * 1996-05-09 2005-08-04 어플라이드 머티어리얼스, 인코포레이티드 플라즈마발생및스퍼터링용코일
US6254746B1 (en) 1996-05-09 2001-07-03 Applied Materials, Inc. Recessed coil for generating a plasma
US6440221B2 (en) 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
JP3225855B2 (ja) * 1996-06-06 2001-11-05 株式会社島津製作所 薄膜形成装置
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US6082374A (en) * 1996-09-24 2000-07-04 Huffman; Maria Fluorine assisted stripping and residue removal in sapphire downstream plasma asher
ATE396494T1 (de) * 1996-09-27 2008-06-15 Surface Technology Systems Plc Plasmabearbeitungsgerät
US6534922B2 (en) 1996-09-27 2003-03-18 Surface Technology Systems, Plc Plasma processing apparatus
US6254737B1 (en) 1996-10-08 2001-07-03 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US6190513B1 (en) 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
US6514390B1 (en) 1996-10-17 2003-02-04 Applied Materials, Inc. Method to eliminate coil sputtering in an ICP source
US5824602A (en) * 1996-10-21 1998-10-20 The United States Of America As Represented By The United States Department Of Energy Helicon wave excitation to produce energetic electrons for manufacturing semiconductors
US5961793A (en) * 1996-10-31 1999-10-05 Applied Materials, Inc. Method of reducing generation of particulate matter in a sputtering chamber
TW358964B (en) * 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6599399B2 (en) 1997-03-07 2003-07-29 Applied Materials, Inc. Sputtering method to generate ionized metal plasma using electron beams and magnetic field
EP0867940A3 (en) 1997-03-27 1999-10-13 Applied Materials, Inc. An underlayer for an aluminum interconnect
US6124834A (en) * 1997-04-04 2000-09-26 The Regents Of The University Of California Glass antenna for RF-ion source operation
JP3944946B2 (ja) * 1997-04-25 2007-07-18 株式会社島津製作所 薄膜形成装置
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6103070A (en) * 1997-05-14 2000-08-15 Applied Materials, Inc. Powered shield source for high density plasma
US6652717B1 (en) 1997-05-16 2003-11-25 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6077402A (en) * 1997-05-16 2000-06-20 Applied Materials, Inc. Central coil design for ionized metal plasma deposition
US6579426B1 (en) 1997-05-16 2003-06-17 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6361661B2 (en) 1997-05-16 2002-03-26 Applies Materials, Inc. Hybrid coil design for ionized deposition
US6475353B1 (en) 1997-05-22 2002-11-05 Sony Corporation Apparatus and method for sputter depositing dielectric films on a substrate
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US6375810B2 (en) 1997-08-07 2002-04-23 Applied Materials, Inc. Plasma vapor deposition with coil sputtering
US6345588B1 (en) 1997-08-07 2002-02-12 Applied Materials, Inc. Use of variable RF generator to control coil voltage distribution
US6235169B1 (en) 1997-08-07 2001-05-22 Applied Materials, Inc. Modulated power for ionized metal plasma deposition
US5882399A (en) * 1997-08-23 1999-03-16 Applied Materials, Inc. Method of forming a barrier layer which enables a consistently highly oriented crystalline structure in a metallic interconnect
US6565717B1 (en) 1997-09-15 2003-05-20 Applied Materials, Inc. Apparatus for sputtering ionized material in a medium to high density plasma
US6042700A (en) * 1997-09-15 2000-03-28 Applied Materials, Inc. Adjustment of deposition uniformity in an inductively coupled plasma source
US6023038A (en) * 1997-09-16 2000-02-08 Applied Materials, Inc. Resistive heating of powered coil to reduce transient heating/start up effects multiple loadlock system
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
EP0908921A1 (en) * 1997-10-10 1999-04-14 European Community Process chamber for plasma enhanced chemical vapour deposition and apparatus employing said process chamber
US7253109B2 (en) * 1997-11-26 2007-08-07 Applied Materials, Inc. Method of depositing a tantalum nitride/tantalum diffusion barrier layer system
WO1999027579A1 (en) * 1997-11-26 1999-06-03 Applied Materials, Inc. Damage-free sculptured coating deposition
US20050272254A1 (en) * 1997-11-26 2005-12-08 Applied Materials, Inc. Method of depositing low resistivity barrier layers for copper interconnects
US6280579B1 (en) 1997-12-19 2001-08-28 Applied Materials, Inc. Target misalignment detector
US6280563B1 (en) * 1997-12-31 2001-08-28 Lam Research Corporation Plasma device including a powered non-magnetic metal member between a plasma AC excitation source and the plasma
US6352049B1 (en) 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
US6635578B1 (en) 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
US6274459B1 (en) 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
US6189484B1 (en) 1999-03-05 2001-02-20 Applied Materials Inc. Plasma reactor having a helicon wave high density plasma source
US6143084A (en) * 1998-03-19 2000-11-07 Applied Materials, Inc. Apparatus and method for generating plasma
US6254738B1 (en) 1998-03-31 2001-07-03 Applied Materials, Inc. Use of variable impedance having rotating core to control coil sputter distribution
JP2001102309A (ja) * 1998-04-09 2001-04-13 Tokyo Electron Ltd ガス処理装置
US6146508A (en) * 1998-04-22 2000-11-14 Applied Materials, Inc. Sputtering method and apparatus with small diameter RF coil
US6287435B1 (en) 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6197165B1 (en) 1998-05-06 2001-03-06 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6080287A (en) * 1998-05-06 2000-06-27 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6012830A (en) * 1998-06-23 2000-01-11 Valeo Sylvania L.L.C. Light shield for a vehicle headlamp
US6660134B1 (en) 1998-07-10 2003-12-09 Applied Materials, Inc. Feedthrough overlap coil
TW434636B (en) 1998-07-13 2001-05-16 Applied Komatsu Technology Inc RF matching network with distributed outputs
US6132566A (en) * 1998-07-30 2000-10-17 Applied Materials, Inc. Apparatus and method for sputtering ionized material in a plasma
US6231725B1 (en) 1998-08-04 2001-05-15 Applied Materials, Inc. Apparatus for sputtering material onto a workpiece with the aid of a plasma
US6117788A (en) * 1998-09-01 2000-09-12 Micron Technology, Inc. Semiconductor etching methods
US6132575A (en) * 1998-09-28 2000-10-17 Alcatel Magnetron reactor for providing a high density, inductively coupled plasma source for sputtering metal and dielectric films
US6238528B1 (en) 1998-10-13 2001-05-29 Applied Materials, Inc. Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
US6213050B1 (en) 1998-12-01 2001-04-10 Silicon Genesis Corporation Enhanced plasma mode and computer system for plasma immersion ion implantation
JP2000226655A (ja) * 1999-02-02 2000-08-15 Matsushita Electric Ind Co Ltd スパッタリング装置
US6217718B1 (en) 1999-02-17 2001-04-17 Applied Materials, Inc. Method and apparatus for reducing plasma nonuniformity across the surface of a substrate in apparatus for producing an ionized metal plasma
US6254745B1 (en) * 1999-02-19 2001-07-03 Tokyo Electron Limited Ionized physical vapor deposition method and apparatus with magnetic bucket and concentric plasma and material source
US6589437B1 (en) 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US6458723B1 (en) 1999-06-24 2002-10-01 Silicon Genesis Corporation High temperature implant apparatus
US6409890B1 (en) 1999-07-27 2002-06-25 Applied Materials, Inc. Method and apparatus for forming a uniform layer on a workpiece during sputtering
GB2353293A (en) * 1999-08-18 2001-02-21 Rtc Systems Ltd FeXN deposition process based on helicon sputtering
US6168696B1 (en) 1999-09-01 2001-01-02 Micron Technology, Inc. Non-knurled induction coil for ionized metal deposition, sputtering apparatus including same, and method of constructing the apparatus
US6267851B1 (en) * 1999-10-28 2001-07-31 Applied Komatsu Technology, Inc. Tilted sputtering target with shield to block contaminants
US6302966B1 (en) 1999-11-15 2001-10-16 Lam Research Corporation Temperature control system for plasma processing apparatus
US6322661B1 (en) 1999-11-15 2001-11-27 Lam Research Corporation Method and apparatus for controlling the volume of a plasma
US6320320B1 (en) 1999-11-15 2001-11-20 Lam Research Corporation Method and apparatus for producing uniform process rates
US6341574B1 (en) 1999-11-15 2002-01-29 Lam Research Corporation Plasma processing systems
JP2003514388A (ja) * 1999-11-15 2003-04-15 ラム リサーチ コーポレーション 処理システム用の材料およびガス化学剤
US6420262B1 (en) * 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US6376370B1 (en) * 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US7262130B1 (en) * 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
AU2001227109A1 (en) * 2000-01-27 2001-08-07 Nikon Corporation Method for preparing film of compound material containing gas forming element
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US8617351B2 (en) * 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US20030010454A1 (en) * 2000-03-27 2003-01-16 Bailey Andrew D. Method and apparatus for varying a magnetic field to control a volume of a plasma
US7067034B2 (en) 2000-03-27 2006-06-27 Lam Research Corporation Method and apparatus for plasma forming inner magnetic bucket to control a volume of a plasma
US6463873B1 (en) * 2000-04-04 2002-10-15 Plasma Quest Limited High density plasmas
US6863835B1 (en) 2000-04-25 2005-03-08 James D. Carducci Magnetic barrier for plasma in chamber exhaust
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
US6632322B1 (en) 2000-06-30 2003-10-14 Lam Research Corporation Switched uniformity control
US6304036B1 (en) 2000-08-08 2001-10-16 Archimedes Technology Group, Inc. System and method for initiating plasma production
US20020185226A1 (en) * 2000-08-10 2002-12-12 Lea Leslie Michael Plasma processing apparatus
US6673199B1 (en) 2001-03-07 2004-01-06 Applied Materials, Inc. Shaping a plasma with a magnetic field to control etch rate uniformity
US6596133B1 (en) * 2001-06-14 2003-07-22 Cvc Products, Inc. Method and system for physically-assisted chemical-vapor deposition
FR2826506B1 (fr) * 2001-06-21 2003-09-26 Tecmachine Dispositif d'amplification de courant d'une decharge electrique anormale et systeme d'utilisation d'une decharge electrique anormale comprenant un tel dispositif
US20050006221A1 (en) * 2001-07-06 2005-01-13 Nobuyoshi Takeuchi Method for forming light-absorbing layer
US7481904B2 (en) * 2002-03-18 2009-01-27 Tokyo Electron Limited Plasma device
US7513971B2 (en) * 2002-03-18 2009-04-07 Applied Materials, Inc. Flat style coil for improved precision etch uniformity
US6876154B2 (en) * 2002-04-24 2005-04-05 Trikon Holdings Limited Plasma processing apparatus
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
JP3854909B2 (ja) * 2002-08-06 2006-12-06 株式会社日立製作所 プラズマ処理装置
US7265429B2 (en) * 2002-08-07 2007-09-04 Chang-Feng Wan System and method of fabricating micro cavities
US7429495B2 (en) * 2002-08-07 2008-09-30 Chang-Feng Wan System and method of fabricating micro cavities
US7022611B1 (en) 2003-04-28 2006-04-04 Lam Research Corporation Plasma in-situ treatment of chemically amplified resist
US7910013B2 (en) 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7452824B2 (en) * 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7795153B2 (en) * 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7470626B2 (en) * 2003-05-16 2008-12-30 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
EP1480250A1 (en) * 2003-05-22 2004-11-24 HELYSSEN S.à.r.l. A high density plasma reactor and RF-antenna therefor
US7265477B2 (en) * 2004-01-05 2007-09-04 Chang-Feng Wan Stepping actuator and method of manufacture therefore
EP1729551A4 (en) 2004-03-26 2009-08-12 Nissin Electric Co Ltd PLASMA PRODUCTION EQUIPMENT
JP4657620B2 (ja) * 2004-04-13 2011-03-23 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7686926B2 (en) * 2004-05-26 2010-03-30 Applied Materials, Inc. Multi-step process for forming a metal barrier in a sputter reactor
US7527713B2 (en) * 2004-05-26 2009-05-05 Applied Materials, Inc. Variable quadruple electromagnet array in plasma processing
US7359177B2 (en) * 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US8179050B2 (en) 2005-06-23 2012-05-15 The Regents Of The University Of California Helicon plasma source with permanent magnets
US7651587B2 (en) * 2005-08-11 2010-01-26 Applied Materials, Inc. Two-piece dome with separate RF coils for inductively coupled plasma reactors
US7410593B2 (en) * 2006-02-22 2008-08-12 Macronix International Co., Ltd. Plasma etching methods using nitrogen memory species for sustaining glow discharge
JP2009545101A (ja) * 2006-07-20 2009-12-17 アビザ テクノロジー リミティド プラズマ源
WO2008009898A1 (en) * 2006-07-20 2008-01-24 Aviza Technology Limited Ion sources
JP5111913B2 (ja) * 2007-03-23 2013-01-09 株式会社東芝 光電気混載集積回路
US8389390B2 (en) * 2007-04-10 2013-03-05 Tzu-Yin Chiu Method of impurity introduction and controlled surface removal
US20090182556A1 (en) * 2007-10-24 2009-07-16 Red Shift Company, Llc Pitch estimation and marking of a signal representing speech
DE102007051444B4 (de) * 2007-10-25 2012-11-08 Von Ardenne Anlagentechnik Gmbh Verfahren und Vorrichtung zum Trockenätzen von kontinuierlich bewegten Materialien
US20090194414A1 (en) * 2008-01-31 2009-08-06 Nolander Ira G Modified sputtering target and deposition components, methods of production and uses thereof
US20090238985A1 (en) * 2008-03-24 2009-09-24 Chau Hugh D Systems and methods for deposition
US9016236B2 (en) 2008-08-04 2015-04-28 International Business Machines Corporation Method and apparatus for angular high density plasma chemical vapor deposition
US8703001B1 (en) 2008-10-02 2014-04-22 Sarpangala Hari Harakeshava Hegde Grid assemblies for use in ion beam etching systems and methods of utilizing the grid assemblies
US20100096254A1 (en) * 2008-10-22 2010-04-22 Hari Hegde Deposition systems and methods
JP5705290B2 (ja) * 2009-01-15 2015-04-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
WO2011037757A2 (en) 2009-09-25 2011-03-31 Applied Materials, Inc. Method and apparatus for high efficiency gas dissociation in inductive coupled plasma reactor
CN102859028A (zh) * 2010-03-22 2013-01-02 应用材料公司 使用远程等离子体源的介电沉积
GB201006567D0 (en) * 2010-04-20 2010-06-02 Plasma Quest Ltd High density plasma source
US8590485B2 (en) 2010-04-26 2013-11-26 Varian Semiconductor Equipment Associates, Inc. Small form factor plasma source for high density wide ribbon ion beam generation
US20130015757A1 (en) * 2011-07-11 2013-01-17 Hariharakeshava Sarpangala Hegde Multi-grid assembly in plasma source system and methods for improving same
US8716852B2 (en) 2012-02-17 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Micro-electro mechanical systems (MEMS) having outgasing prevention structures and methods of forming the same
FR2998707B1 (fr) * 2012-11-27 2016-01-01 Ion Beam Services Implanteur ionique pourvu d'une pluralite de corps de source plasma
KR20140087215A (ko) * 2012-12-28 2014-07-09 주식회사 윈텔 플라즈마 장치 및 기판 처리 장치
US20150294843A1 (en) * 2014-04-09 2015-10-15 Applied Materials, Inc. Methods for extending chamber component life for plasma processing semiconductor applications
US20190122873A1 (en) * 2017-10-20 2019-04-25 Vitalink Industry (Shenzhen) Co., Ltd. Ion Source Device, Sputtering Apparatus and Method
US20190131112A1 (en) * 2017-10-30 2019-05-02 Mattson Technology, Inc. Inductively Coupled Plasma Wafer Bevel Strip Apparatus
US20200234920A1 (en) * 2019-01-22 2020-07-23 Lam Research Corporation Coil and window for plasma processing system
GB2588932B (en) * 2019-11-15 2022-08-24 Dyson Technology Ltd Method and apparatus for sputter deposition of target material to a substrate
US11694899B2 (en) * 2020-01-10 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structures and methods and apparatuses for forming the same

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3247515A (en) * 1963-03-04 1966-04-19 Northrop Corp Low profile antenna
US3875068A (en) * 1973-02-20 1975-04-01 Tegal Corp Gaseous plasma reaction apparatus
DE2716592C3 (de) * 1976-04-15 1979-11-08 Hitachi, Ltd., Tokio Plasma-Ätzvorrichtung
US4216405A (en) * 1977-08-25 1980-08-05 Trw Inc. Large, indirectly heated, oxide-coated cathode for producing uniform plasmas
JPS56155535A (en) * 1980-05-02 1981-12-01 Nippon Telegr & Teleph Corp <Ntt> Film forming device utilizing plasma
CA1159012A (en) * 1980-05-02 1983-12-20 Seitaro Matsuo Plasma deposition apparatus
JPS5779621A (en) * 1980-11-05 1982-05-18 Mitsubishi Electric Corp Plasma processing device
US4368092A (en) * 1981-04-02 1983-01-11 The Perkin-Elmer Corporation Apparatus for the etching for semiconductor devices
US4483737A (en) * 1983-01-31 1984-11-20 University Of Cincinnati Method and apparatus for plasma etching a substrate
JPS59193904A (ja) * 1983-04-18 1984-11-02 Matsushita Electric Ind Co Ltd 高分子薄膜形成装置
JPS6164124A (ja) * 1984-09-06 1986-04-02 Anelva Corp 薄膜作成装置
IE57324B1 (en) * 1985-05-03 1992-07-29 Univ Australian Method and apparatus for producing large volume magnetoplasmas
WO1986006923A1 (en) * 1985-05-03 1986-11-20 The Australian National University Method and apparatus for producing large volume magnetoplasmas
JPS6240386A (ja) * 1985-08-15 1987-02-21 Ulvac Corp Ecrプラズマ処理装置
US4733133A (en) * 1985-11-26 1988-03-22 Applied Microwave Plasma Concepts, Inc. Method and apparatus for producing microwave radiation
GB8622820D0 (en) * 1986-09-23 1986-10-29 Nordiko Ltd Electrode assembly & apparatus
US4859908A (en) * 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
DE3853890T2 (de) * 1987-01-19 1995-10-19 Hitachi Ltd Mit einem Plasma arbeitendes Gerät.
DE3810197A1 (de) * 1987-03-27 1988-10-13 Mitsubishi Electric Corp Plasma-bearbeitungseinrichtung
US4828649A (en) * 1987-07-16 1989-05-09 Texas Instruments Incorporated Method for etching an aluminum film doped with silicon

Also Published As

Publication number Publication date
DE69033908D1 (de) 2002-03-14
US4990229A (en) 1991-02-05
EP0403418A2 (en) 1990-12-19
DE69033908T2 (de) 2002-08-29
ATE212779T1 (de) 2002-02-15
EP0403418B1 (en) 2002-01-30
EP0403418A3 (en) 1992-02-19
JPH0368773A (ja) 1991-03-25

Similar Documents

Publication Publication Date Title
JPH0814026B2 (ja) 高密度プラズマ蒸着およびエッチング装置
US5091049A (en) High density plasma deposition and etching apparatus
JP3271765B2 (ja) 高密度プラズマデポジションおよびエッチング装置
US6228229B1 (en) Method and apparatus for generating a plasma
US5767628A (en) Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel
US6899054B1 (en) Device for hybrid plasma processing
US4507588A (en) Ion generating apparatus and method for the use thereof
US6679981B1 (en) Inductive plasma loop enhancing magnetron sputtering
US6849857B2 (en) Beam processing apparatus
US5686796A (en) Ion implantation helicon plasma source with magnetic dipoles
US6238528B1 (en) Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
US8911602B2 (en) Dual hexagonal shaped plasma source
JP2006506521A (ja) 高蒸着速度スパッタリング
WO1994009179A1 (en) High density plasma deposition and etching apparatus
JPS63174321A (ja) イオン・エッチング及びケミカル・ベーパー・デポジション装置及び方法
JPH11135438A (ja) 半導体プラズマ処理装置
Shustin Plasma technologies for material processing in nanoelectronics: Problems and solutions
JPH0641739A (ja) 高真空・高速イオン処理装置
WO2000003055A1 (en) Shield for ionized physical vapor deposition apparatus
JP4384295B2 (ja) プラズマ処理装置
JPH1154296A (ja) プラズマ発生装置およびプラズマ装置
KR101366042B1 (ko) 폴 타입 안테나가 포함된 이온빔 소스의 위치제어를 이용한 이온 처리 장치
JP2777657B2 (ja) プラズマ付着装置
KR910008976B1 (ko) 전자시이클로트론 공명(Electron Cyclotron Resonance)을 이용한 플라즈마 발생장치
JPS6380449A (ja) マイクロ波金属イオン源

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090214

Year of fee payment: 13

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100214

Year of fee payment: 14

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110214

Year of fee payment: 15

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110214

Year of fee payment: 15