JP3271765B2 - 高密度プラズマデポジションおよびエッチング装置 - Google Patents

高密度プラズマデポジションおよびエッチング装置

Info

Publication number
JP3271765B2
JP3271765B2 JP50577692A JP50577692A JP3271765B2 JP 3271765 B2 JP3271765 B2 JP 3271765B2 JP 50577692 A JP50577692 A JP 50577692A JP 50577692 A JP50577692 A JP 50577692A JP 3271765 B2 JP3271765 B2 JP 3271765B2
Authority
JP
Japan
Prior art keywords
plasma
chamber
magnetic field
substrate
antenna
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP50577692A
Other languages
English (en)
Other versions
JPH06506084A (ja
Inventor
キャンベル、グレガー・エー
コン、ロバート・ダブリュ
ピアソン、デビッド・シー
デッカムブライアー、アレクシス・ピー
タツオ ショージ
Original Assignee
トリコン・テクノロジーズ・インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=24610292&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP3271765(B2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by トリコン・テクノロジーズ・インコーポレーテッド filed Critical トリコン・テクノロジーズ・インコーポレーテッド
Publication of JPH06506084A publication Critical patent/JPH06506084A/ja
Application granted granted Critical
Publication of JP3271765B2 publication Critical patent/JP3271765B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32688Multi-cusp fields
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Description

【発明の詳細な説明】 関連出願の引用 本発明は1989年6月13日に米国特許出願第07/365,533
として出願され、1991年2月5日に米国特許第4,990,22
9として発布された特許の一部継続出願であり、これを
ここに参照併合するものである。
発明の背景 1.発明の分野 本発明はプラズマデポジション、あるいはエッチング
方法と、薄膜を基板上に堆積させるための、あるいは薄
膜を基板から除去(エッチング)するためのいろいろな
装置とに関するものである。
2.関連技術に関する説明 エッチング プラズマエッチングは、化学的に活性な原子あるいは
高エネルギーイオンを用いて基板から材料を除去する工
程を含む方法である。この技術は半導体集積回路の製造
のキーとなる基本的重要技術である。しかしながら、電
子サイクロトロン共鳴(ECR)を用いたマイクロ波プラ
ズマが出現するまでは、従来のプラズマエッチング技術
では素子集積密度の増大に伴う要求を満すことが困難で
あった。特に、アンダーカットなしに微細なパターンの
エッチングを行うこと(異方性エッチング)、低損傷で
あること、高選択性であることのこれらの要求のすべて
を同時に満たすことは困難であった。
デポジション プラズマエンハンスト化学蒸着法は、多くの応用にお
いて材料を基板上に堆積するための技術として広く用い
られている。通常のCVDでは、基板の温度によって化学
反応が引き起こされるが、多くの応用ではこの化学反応
は高い温度(>800℃)で起こる。この方法では、高い
基板温度が必要とされることが、いろいろな応用、特に
マイクロエレクトロニクス、表示装置、光学的コートに
おいて広く用いるための妨げとなっていた。これに対
し、プラズマの役割は化学的ガスを分解し、活性化して
基板温度が低くてもすむようにすることである。分解、
活性化、おおびイオン化の速度はプラズマ密度に比例す
る。従って、プラズマを可能な限り高密度とすることが
重要である。
スパッタリング スパッタリングも、硬質被膜あるいは化粧被膜やガラ
ス被膜の製造などのいろいろな応用において、材料を基
板上に堆積するのに広く用いられている方法である。一
般に、プラズマがスパッタターゲット材料位置において
生成され、スパッタターゲットが700V前後の負電圧にバ
イアスされる。プラズマイオンとしては一般にアルゴン
が用いられるが、これが材料表面を衝撃してスパッタ
し、スパッタされた材料が中性原子として基板まで移送
される。また、反応性スパッタデポジションと呼ばれて
いるプロセスを用いて、反応性ガスを導入して、スパッ
タされた原子とホスト基板上で化学反応を起こさせるよ
うにすることも可能である。しばしば、速度が重要とな
るので、プラズマを可能な限り高密度とすることが重要
である。また、反応性ガスのイオン化も重要であるの
で、プラズマを基板材料の近傍に維持することによって
イオン化の促進が行われる。スパッタリングは、イオン
ガンあるいはプラズマガンによってイオンを加速し、こ
の加速されたイオンをスパッタターゲットにぶつけるこ
とによって行うこともできる。この場合には、ターゲッ
トにバイアス電圧を加える必要がない。絶縁性材料をス
パッタするためには、RFバイアス電圧をスパッターゲッ
トに加えることが必要である。
既存の方法 プラズマデポジションおよびエッチングに現在広く用
いられている2つの方法がある。すなわち、平行板リア
クタと、ECRプラズマデポジション装置とである。
平行板リアクタ(ダイオード) RFダイオードはデポジションおよびエッチングの両方
に広く用いられており、チャップマンの著者(「グロー
放電プロセス」、ジョン・ワイリー・アンド・サン、19
80年)に詳細に記載されている。この方法では、電極の
一方を13.56MHzのRFに結合し、他方の電極をアースに接
続する。系の圧力は通常1mTorr〜1Torrであり、典型的
なプラズマ密度は1010電子/cm3である。デポジションあ
るいはエッチングのどちらもその速度はプラズマ密度
と、エッチングあるいはCVDプロセスに用いられる反応
性ガス密度(圧力)とに依存する。
エッチングにおいては、イオンとバックグランド・ガ
スとの間に衝突を起こさせるための放電を維持するのに
高い圧力が必要である。この衝撃によって、エッチング
イオンあるいは原子の行路が無規則化され、すなわち一
定の方向性をもたなくなり、その結果としてマスクの下
側にまわりこんだアンダカットが発生することになる。
これは、等方性エッチングと呼ばれている。エッチング
原子あるいはイオンに方向性をもたさせて、真っ直ぐな
異方性エッチングを達成することが望ましいことであ
る。RFダイオード放電で用いられる高い圧力で異方性エ
ッチングを行うには、イオンは高いエネルギー(数百eV
以上)を有している必要がある。しかし、イオンのエネ
ルギーが高いと、基板、薄膜材料、あるいはフォトレジ
ストに損傷を与えてしまう。
プラズマは、陰極にイオンが衝突することによって放
出される2次電子によって維持される。これらの電子
は、シースに発生する通常400〜1000Vの電圧差によって
加速される。これらの高速電子は基板に衝突し、大きな
シース電圧降下をもたらす。この高電圧はイオンを加速
して、基板あるいは薄膜材料に損傷をもたらすことにな
る。高エネルギー電子の存在は、高シース電圧降下をも
たらすので望ましからぬものである。
電子サイクロトロン共鳴プラズマ 2.45GHzのマイクロ波と875ガウスの磁界による電子サ
イクロトロン共鳴が使用されるようになって、低い電圧
で高密度プラズマを発生させることが可能となった。プ
ラズマエッチングにおけるこの技術の利点は、鈴木によ
る「マイクロ波プラズマエッチング」と題された真空3
4、10/11号、1984年に掲載された論文において述べられ
ている。ガス圧力が低く(0.04〜0.4Pa)、プラズマ密
度が高い(1.7〜7×1011電子/cm3)ために、異方性エ
ッチングを大きなエッチング速度で実現することが可能
である。
また、鈴木は、米国特許第4,101,411において、ECRを
用いたプラズマエッチング装置について記述している。
また松尾は、米国特許第4,401,054において、ECRを用い
たプラズマデポジション装置について記述している。
この技術は平行板リアクタよりも、いろいろな点にお
いて望ましいものであるが、しかしその一方でいくつか
の限界がある。まず、非常に大きな磁界(1〜2kG)が
必要である。このことは、重くて、大きな電力を消費す
る電磁石が必要であることを意味している。最大密度
は、ある構造においてはカットオフにより制限され、ま
た別の構造においては、屈折によって制限され、1×10
12電子/cm3程度の値が限界である。また、マイクロ液を
発生して伝送するための電源装置やその他の必要なハー
ドウェアが高価なものとなる。また、均一性(すなわ
ち、プラズマプロファイル幅)があまり良好とはいえな
い。
発明の要約 本発明は低い周波数のRFホイッスラー波を用いて、プ
ラズマエッチング、デポジション、およびスパッタリン
グ装置に用いるための高密度のプラズマを発生させるも
のである。ガスがソースチューブに注入され、またその
中心軸にそって磁界が印加される。また、このソースチ
ューブと組み合わされて、単一ループアンテナがこのソ
ースチューブの中心軸に直交する平面内に配置される。
M=0のモードのみを励起することが望まれる場合に
は、アンテナ平面の角度は90゜となされ、またM=0と
M=1の両方の成分を励起することが望まれる場合には
90゜以下になされる。ガスは0.1mTorrから200mTorrの圧
力の不活性ガスまたは反応性ガスが用いられる。磁界強
度としては10から1000ガウスの範囲が用いられ、またア
ンテナの駆動は2MHzから50MHzの範囲の周波数の100Wか
ら5kWのRFエネルギーによって駆動される。チューブソ
ースの軸にそってガス注入端から十分に離れた位置にア
ンテナを配置し、開放出口領域を形成する他端が処理室
に通じるようにする。このようにすると、単一ループア
ンテナは驚くべき高効率の波の結合を実現することがで
き、高密度、大電流プラズマを生成できる。
本発明の他の態様では、このプラズマ源によって生成
されたプラズマは、処理室の壁から離れた位置にプラズ
マを維持するための磁気バケットシステムを具備してい
る処理室に供給される。この組み合わせ構成によって、
円状の大きな領域にわたってプラズマ密度を均一にする
ことができ、大きな基板に対してエッチングあるいはそ
の他の処理を行うことができる。また、他の態様におい
ては、処理しようとしている材料の表面に磁気尖端領域
を生成することによって、その領域のプラズマがさらに
均一なものとされる。この特徴は、基板に対する磁気尖
端位置を時間的に変調することによって均一性を高め、
基板位置に対する敏感性を低減することにある。
また、磁界を拡げることによって、大面積にわたって
デポジションあるいはエッチングを行うことができるよ
うにし、また、複数のアンテナを有する系のアンテナを
直列駆動することによって電流値が一定となるようにな
される。他の態様においては、正方形あるいは長方形の
基板のコートまたはエッチングを行うための1つあるい
はそれ以上の複数の幾何学的領域が設けられるか、ある
いは大きな基板のコートあるいはエッチングを行うため
の直線的並置構造が設けられる。
図面の簡単な説明 図1は、本発明に従って作成されたプラズマ源の中の
RF電流の流れと動作原理とを示した概略図である。
図2は、図1に示されたようなプラズマ源と組み合わ
されたプラズマデポジション装置あるいはプラズマエッ
チング装置の基本構造を示した概略図である。
図3は、本発明による装置の第2の例を示したもので
あり、均一性が重要となる領域に磁気バケット領域を形
成し、そこにプラズマ源領域を結合させた例の概略図で
ある。
図3Aは、図3の装置の直線3A−3Aに関する平面図であ
る。
図4は、均一性が重要である大きな長方形領域におい
て、デポジションあるいはエッチングを行うための、本
発明の装置の第3の例の斜視図である。
図4Aは、図4の装置の直線4A−4Aに関する平面図であ
る。
図5は、本発明の装置のさらに他の例の概略図であ
り、基板ホルダー平面の背後に底部磁石を付加して尖端
磁界を供給し、尖端磁界平面が基板ホルダー平面とおよ
そ同じとなるようにしたものである。
図5Aは、図5の装置の直線5A−5Aに関する平面図であ
る。
図6は、スパッタデポジションのための、本発明によ
る装置の一例の平面図である。
図7は、図1に示したプラズマ源を図3に示した例に
用いた場合の、基板位置におけるプラズマ電流密度をプ
ラズマ源領域の磁界の関数として示したグラフである。
図8は、図7と同じデータを磁界を直線目盛りでグラ
フ表示させたものであり、磁界が0から160ガウスと小
さい値を示す基板位置におけるプラズマ電流密度を示し
たものである。
図9は、図1に示したようなプラズマ源を図3に示し
たような本発明に用いた場合における、基板位置での全
プラズマ電流(すなわち、全フラックス)を、ガス圧力
が2mTorrのプラズマ源に対して加えたRF電力の関数とし
て示したグラフである。
図10は、図1に示したようなアンテナを図3に示した
ような本発明に用いた場合における、基板位置での全プ
ラズマ電流をガス圧力の関数として示したグラフであ
る。
図11は、図1に示したようなプラズマ源を図3に示し
たような本発明に用いた場合における、基板位置での全
プラズマ電流を基板の幅方向の場所の関数として示した
グラフであり、基板の幅全体にわたって良好な均一性を
示している。
発明の詳細な説明 図1は、本発明の装置に用いられる主要な要素とこれ
らの要素の間の関係について、概略を図式的に示したも
のである。図において、高密度プラズマは、一般に中心
軸(この場合では垂直な軸)を中心とする円筒形状のソ
ースチューブ10内で発生される。1端(この場合では上
端)からインジェクタ11によってイオン化すべきガスが
ソースチューブの内部に供給される。ソースチューブ内
部に供給されたガスは、ソースチューブの中央領域を取
り囲んでいる外部ループアンテナ12によって励起され
る。この例のアンテナループ12は、中心軸に対して90゜
あるいはそれ以下の角度の平面内に配置された、ほぼ円
状ではあるが完全な 円ではないエレメントから構成さ
れている。プラズマは、この例では出口13に向かって下
方向に伝搬する。アンテナループ12の対向端は、それぞ
れ同軸駆動ライン16の外側導体14と中心導体15とに接続
されている。この同軸駆動ラインには整合ボックス18を
介してRFエネルギー源19からエネルギーが送られる。整
合ボックス18中の1対の可変真空キャパシタ20、21を調
節することによってアンテナ負荷と整合ブロックスのリ
アクティブ負荷とを足し合わせたものがおよそ50Ωとな
るように回路が同調され、反射電力が最小化されるよう
になされている。
アンテナの同調および波のスペクトルは、プラズマ場
条件と、ソースチューブのまわりの少なくとも1つの磁
界コイル22によって生成される軸方向内部磁界と関連す
る条件とに整合するように調節される。この整合条件
は、分散関係を表す理論によって次のように見積もるこ
とができる。
[ω/ωc−ωp2/C2kz2=1+(3.83/kaz) RFエネルギー源19からの13.56MHz、2.0kW程度(100W
から5kWの範囲)のRFエネルギーでアンテナループ12を
駆動すると、効率よく波を結合させて、高プラズマ電流
密度(mA/cm2)を得ることができる。コイル22が発生す
る磁界の大きさとしては、いろいろな有用な応用に対し
て、10から1000ガウスの範囲の値が用いられる。ガスと
しては、この例ではアルゴンが用いられ、およそ1mTorr
の圧力に維持される。アルゴンのような不活性ガスに加
えて、SF6、塩素、酸素、および酸素混合ガスなどの反
応性ガスを用いて、かなり良好な結果を得ることもでき
る。圧力としては、もし他の変数が適切に考慮されてい
る場合には、0.1mTorrから200mTorrの範囲を用いること
ができる。5kWの電源を用いたとしたとき、実際に利用
可能な最大有能電力はこれよりもずっと小さな値とな
り、応用にもよるが数百ワット程度である。周波数とし
ては、13.56MHzが製品としていろいろなものを入手可能
であるが、2MHzから50MHzの範囲のものを好適に用いる
ことができる。
図1のアンテナループ12はソースチューブ10の軸線に
対して90゜をなしている。この方向のときには、M=0
モードで励起が起こるが、角度を90゜から小さくしてい
くとM=0成分とともにM=1モード成分も励起される
ようになる。軸線に対する角度を90゜以下にするには、
これに対応して、より長いループアンテナ12が必要とな
るので、実用上は45゜が限界である。多くの場合には、
60゜から90゜の範囲の角度が好適である。注意すべきこ
とは、ループ12は軸線に対して直交しているか、あるい
は傾いている平坦な平面内に配置されるということであ
る。従来技術の2重ループを用いた構造、あるいはその
他の構造においては、らせん波の特徴を得るためにルー
プ部分はらせん状の経路を形成している必要があるもの
と思われていたが、以下に示すように本発明が効果を有
することを考えれば、これは正しくない。しかし、プラ
ズマとRFエネルギーとの間の必要な相互作用を起こすた
めに、また、高密度を達成するための適切な励起を実現
する分散関係式を満たすには、アンテナループ12がソー
スチューブ10の閉端部分(ガス入り口)から十分に離れ
た位置にあることが重要である。しかし、長さが長くな
り過ぎると、適切な波数が得られなくなってしまう。実
際上は、直径が1〜4インチ、長さが8〜9インチのソ
ースチューブに、閉端からおよそ3分の1あるいはそれ
以上の位置にアンテナループを備えるようにする。
このような装置を用いると、低い周波数のホイッスラ
ー波を発生させることができる。しかし、波のエネルギ
ーとプラズマとの間の相互作用の機構は完全にわかって
いるわけではない。分散関係式を用いて簡単な解析を行
うことは不可能である。FR場の中にプラズマ負荷を置く
と、適当な条件下では選択的な相互作用が起こり、ガス
密度と誘電体特性とによって、存在し得る波数が決定さ
れるということらしい。従って、ある意味では、プラズ
マ自身によって相互作用の波長があらかじめ決定されて
しまい、その結果として、kzの値が定まり、これと適合
するアンテナからの放射スペクトルが選択されてプラズ
マの励起が行われるということのようだ。
ホイッスラー波のプラズマ中での伝搬に関する物理に
ついては、他のことがらと関連して研究されている。円
筒幾何学形状における場合のこのような波は、一般に、
ヘリコン波と呼ばれている。古典的なヘリコン波につい
ては、レヘイムとトネマンとによって初めて研究され、
次の方程式に従うことがわかっている。
▽×E=δB/δt,▽×B=μ0J,▽・B=0 E=J×B0/en0,Ez=ηJz ただし、Eは電界、Bは磁界、Jは電流密度、B0は真空
中での磁界、eは電子の電荷、n0はプラズマ密度、ηは
プラズマの抵抗率である。
チェンが行った式の導出方法に従えば、Bexp(i(m
θ+kz−ωt))の形の摂動を容易に求めることがで
き、η=0の極限においては、上記の方程式から次の式
が得られる。
2B+α2B=0 ただし、α=(ω/k)(μ0en0/B)、J=(α/μ
B、ωは波の角周波数、μは誘電率、kは波数であり
λを波長とするとき2π/λに等しい。これらの方程式
を円柱座標に対して解くと、次の分散関係式が得られ
る。
mαJm(Ta)+TkaJm'(Ta)=0 ただし、Jmは第1種ベッセル関数であり、Jm'はその引
数に関しての導関数、αはプラズマ半径、また、Tは次
の式によって定義される横方向の波数である。
T2=α−k2 また、mがBexp(i(mθ+kz−ω))の形の摂動のθ
の依存性を表すモード数であるということは記憶してお
くべき重要なことがらである。最もモード数が低い2つ
の式は以下のようになる。
J1(Ta)=0 (m=0) J1(Ta)=Tka/2α(J2−J0) (m=1) これから、m=0のモードに対しては、次の簡単な関係
式が得られる。
[(ω/ωc)(ωp2/c2kz2)]=1+(3.83/kza) ただし、ωc=サイクロトン角周波数 ωp=プラズマ周波数 この導出式は、アンテナから所望のモードをいかにして
励起するかを理解するのに重要である。
他の理解すべき重要な機構として、プラズマによる波
の減衰がある。ボスウェルの論文によると、電子衝突に
よる波の減衰では実験事実を説明することができない。
一方、チェンは、ランダウ減衰波によって実際に観察さ
れるような大きな減衰が起こることをつきとめた。ラン
ダウ減衰は、波の位相速度にほぼ等しい速度を有するプ
ラズマ中の粒子によって起こされるものであり、衝突に
よらないプラズマ中での波の減衰である。これらの粒子
が波によって輸送されるとき、粒子は急激な電界の変動
とは出会わないので、効率よくエネルギを波と交換する
ことができる。プラズマ中には、波の速度よりも速い電
子と遅い電子との両方が存在する。しかし、マックスウ
ェル分布においては、遅い電子の方が速い電子よりも数
が多いので、波からエネルギーをもらう粒子の方が、そ
の逆の電子よりも多い。
ランダウ減衰による減衰率は、ヘリコン波に対する場
合についてチェンによって計算されており、次のように
表される。
減衰率= Jm(kz)/Re(kz)2πc2(3.8/a)ξ3e−ξ ただし、ξ=ω/kzVthであり、またVhはプラズマ電子の
熱速度である。減衰率は、ξの鋭い関数であるが、kの
値に対してどの程度敏感であるかを示しておくことは興
味あることである。例えば、密度が1012電子/cm3、電子
温度が3eV、駆動周波数が8MHzのプラズマを例として取
り上げてみよう。この場合の衝突による減衰率は0.065
であり、一方、ランダウ減衰率はkz=0.25cm-1に対して
は0.6であり、kz=0.125cm-1に対しては0.0005である。
ランダウ減衰が重要な減衰機構となっており、またラン
ダウ減衰が波数kzに対して非常に依存するということが
明らかであろう。
プラズマを生成するためのホイスッラー波を励起する
ためのアンテナ構造を考案する上で以下のような多くの
重要な要因がある。すなわち、(a)励起周波数、
(b)波のモード、(c)RF電力とプラズマとの結合効
率である。Ωcをイオンサイクロトロン周波数eB0/Miと
し、ωcを電子サイクロトロン周波数eB0/Mとすると
き、波の周波数はΩc<ω<ωcを満たしている必要が
ある。このような波は電子サイクロトロン周波数と比較
して、はるかに低い周波数の波である。
波の電界および磁界モード構成はアンテナ配置によっ
てRF電力を効率よく波の励起へ結合するようになされて
いなければならない。先に議論したように、最も低次の
2つのモードはM=0およびM=1である。M=0のと
きの波の電界モード構成は波の伝搬方向zにそっての空
間的に異なる横断面に配置された半径方向および円周方
向の電界ベクトルを有している。1波長分だけ波が伝搬
するとき、電界の向きが純粋な半径方向から純粋な方位
方向へと変化する。方位方向電界は、ある平面上におい
ては反時計方向であるが、そこから離れるにつれて変化
し、半波長離れた場所では時計方向となる。このことを
理解すれば、磁界に対して直交する平面上に配置された
単一ループを有するアンテナを用いて、波を励起させ、
このときに発生されるスペクトルの一部に2π/kzが含
まれるようにすることによって、このモードの波を効率
よく励起することが可能であることがわかるであろう。
ただし、ここで、kzは先に述べた分散関係式から定ま
る。一方、M=1のモードにおける波の電界モード構成
では、波がz方向にそって伝搬するときに、自然な螺旋
ピッチが電界ベクトルおよび磁界ベクトルに対して与え
られる。このとき、電界ベクトルは右旋する。すなわ
ち、z方向のB0にそって波が伝搬するとき電界ベクトル
は時計方向に回転する。このモードの励起は、本発明に
おいて単一ループを磁界に対して傾け、発生される波の
スペクトルが2π/kzの周囲数のかなりの部分を含むよ
うにすることによって可能である。ただし、kzは分散関
数式から定まる量である。
プラズマの生成効率はRFエネルギーのプラズマへの結
合に依存する。先に議論したように、RFエネルギーの減
衰に対する重要な機構はランダウ減衰であるものと信じ
られる。kzが分散関係式によって与えられたとき、ホイ
ッスラー波の位相速度はω/kzとなり、プラズマ密度と
プラズマが存在しないときの磁界強度とに依存する。理
想的には、波の位相速度はイオン化しようとしているガ
スの最大イオン化ポテンシャルに近いものとなっている
べきである。m=0のモードに対しては、上記の分散関
係式から n=αB0kz(T2+kz21/2 が得られる。ただし、T<kzに対して、α=B0kz2であ
る。言い換えれば、 kzの値が大きいほど、密度が大きくなる。しかし、波の
位相速度はω/kzで与えられるから、kzが増加すると波
によって加速される電子のエネルギーが減少してしま
う。もし、kzが大きすぎる場合には、電子のエネルギー
はイオン化ポテンシャル以下となってしまう。従って、
kzを制御することが、密度を増加し、また電子温度を制
御するために重要である。
本発明では、低い周波数のホイッスラー波を用いて、
1cm3当たりの密度が 1013を越える高密度のプラズマを生成させる。ホイッス
ラー波を高密度プラズマの生成に用いる最初の報告はボ
スウェルによって行われたが、彼が用いたアンテナは、
電流が円筒軸にそって流れる構造のものであった。この
ようなアンテナ構造は以前から用いられていたものであ
り、オブチニコフが報告しているように、このアンテナ
では、磁界B0の方向と平行な方向に走る導体中を流れる
電流によって、m=1のモードが励起される。励起周波
数は8mHzであり、また10cmのプラズマの密度プロファイ
ルは大きなピークのあるものであった。高密度を得るた
めに必要となる磁界強度の大きい場所において特にピー
クが発生している。また、これらの文献では、RFエネル
ギーをプラズマに効率良く結合するための機構について
は説明されていない。機構をランダウ減衰であるとして
説明したのは、チェーンのオーストラリア国立大学報告
であった。
チェンは1988年に発表した論文において、最新粒子加
速装置用の、ホイッスラーを用いた高密度プラズマ発生
装置について述べている。この装置に用いられたアンテ
ナの型は、m=1のモードを励起するボスウェルが用い
たものと類似のものであり、互いに離して配置された円
状端末ループに互いに逆位相の信号を励起する、名古屋
III型アンテナとして知られていた種類のものであっ
た。
本発明による単一ループアンテナが高密度プラズマを
効率よく生成することができるのだということをいった
ん認識しさえすれば、多くの変形が可能である。z軸方
向の波数kzは比n/Bとともに変化し、また、波長λの逆
数に比例して変化し、より長いソースチューブを必要と
する。逆に、もしBが減少すると、波長が短くなってチ
ューブの大きさにかかわらず必要な波数が達成されるの
で、プラズマ密度は比較的高くなる。
この方法の結果は、以下に述べるいろいろなプロセス
ユニットを研究することによって最もよく理解すること
ができる。
本発明のプラズマデポジションあるいはエッチング装
置の基本構造を図2に示す。プラズマ発生チャンバー1
0'は円筒状の形状をしており、石英あるいはパイレック
スなどの非導電体材料で作られている。アンテナ12'は
図1に関連して説明したようにプラズマ発生チャンバー
10'の軸線に対して傾けて取り付けられている。軸方向
の磁界が、図2に示されているように磁界コイル24およ
び25によって供給されるが、ただし、プラズマはこれら
のコイルの中のどちらか1つを用いてしか動作しない。
プラズマは磁界によって別の処理室27まで輸送され
る。処理室27中に現れるプラズマの形状は、処理室27の
出口周辺のコイル28の中を流れる電流の量と方向とを変
えることによって制御することができる。コートすべ
き、あるいはエッチングすべき基板30は、電気的に絶縁
された基板ホルダー31上にマウントされる。プラズマが
基板30に衝突することによって、基板30は0から10Vの
範囲の負の値は自己バイアスされる。形成すべきフィル
ムの種類によっては、フィルムに対して、自己バイアス
によって得られるエネルギーよりも、さらに大きなエネ
ルギーを有するイオンの衝撃を与えることが望まれるこ
とがある。そのような場合には、RF電力を第2のRF源33
から第2の整合回路34を介して供給するようにするのが
望ましい。基板ホルダー31は、加熱/冷却循環系35によ
って冷却あるいは加熱される銅のブロックからなってい
る。ガス供給源に結合されたステンレス鋼の注入チュー
ブ11'を介してガスがプラズマ発生チャンバー10'に対し
て注入される。ステンレス鋼リング36のまわりには穴37
が等しく分布しており、リング36の径は穴37の大きさよ
りも大きくなっている。これらは、ガスが均一に基板30
に向かって流れるように配置される。RF電圧は、図1と
関連して述べたように、FR源19および整合回路18によっ
てアンテナ12'に印加される。整合回路18で同調を行う
ことによって、プラズマに結合される電力を最大化し、
また反射して50Ωケーブル38をRF電源19まで戻ってくる
電力が最小化される。
図3は、大面積にわたって高度な均一性が要求される
場合の構成を示したものである。プラズマ発生チャンバ
ー10'は円筒形状をしており、また石英やパイレックス
などの非導電体材料で作られている。アンテナ12は、直
角よりも小さな角度で取り付けられていることを除いて
図1に記載されているのと同様である。また、軸方向の
磁界が磁界コイル24および25によって供給される。プラ
ズマは磁気バケット室40の一部からなる処理空間まで磁
界によって輸送される。
リンペーチャーおよびマッケンジーの論文(R.リンペ
ーチャー、K.R.マッケンジー、科学機器誌、44、726(1
973))において、周辺多尖端構造となるように配備さ
れた磁石を用いることによって、磁石で囲まれた空間の
中央領域に非常に均一なプラズマパラメータをもたらす
ことが可能であることが開示されている。円筒の円周部
分周辺に磁石を配置うることは、イオン源として用いる
ための配置として普通に用いられており、磁気バケット
と呼ばれている。磁界の設計において、下側の磁界コイ
ル25によって供給される軸方向の磁界と磁気バケット40
との中の磁界とが良好な整合を示すようにすることが重
要である。発生器10'からのプラズマは磁力線にそって
拡散し、バケット40中に拡がっていく。磁気バケット40
の壁41はステンレス鋼を用いて、例えば円状断面あるい
は長方形の断面形状をもつように作られる。いずれの場
合でも、磁気バケット40の内部の大きさはプラズマ発生
器10'の内径よりも大きくなるようになされる。バケッ
ト内の磁界は、その極がバケット室の壁41に対して直交
するように、またN極とS極とが交互になるように配置
された多数の永久磁石43によって供給される。
このような配置とすることによって、磁力線44は、多
尖端パターンを形成し、磁石によって形成される磁界の
パターンは図3Aに示したようになる。再び図3を参照す
る。コートをすべき、あるいはエッチングをすべき基板
45は、基板ホルダー46上の磁気バケットのプラズマ場の
下部領域となる部分にマウントされる。基板ホルダーは
銅ブロックからなっており、加熱/冷却循環系によって
冷却あるいは加熱される。図2のシステムにおけるのと
同様に、ガス供給源に結合されたステンレス鋼チューブ
11'を介してガスがプラズマ発生チャンバー10'に対して
注入される。また、ガス供給路に接続されたステンレス
鋼リング36が具備されており、このステンレス鋼リング
36の周囲には小さな直径の穴37が分布しており、これら
を介して磁気バケット40中にガスが注入される。図1に
示したような2つの真空キャパシタからなる整合回路18
を介して、RF電圧が電源19からアンテナ12'に印加され
ると、プラズマが基板45に向かって均一に流れる。
図4は、例えば大面積平面表示装置のコーティングな
どのように、大面積の長方形形状の全体にわたって高度
な均一性が要求される場合に対する装置構成を示したも
のである。プラズマ発生ユニットは上記と同じ種類のも
のであるが、ただし、この装置構成においては、必要と
されるプラズマの形状と大きさに応じて複数のプラズマ
発生器を用いることができる。図4は、処理室の上部
に、その中心軸にそって3つのプラズマ発生器49、50、
51を配置した場合について示したものである。各プラズ
マ発生チャンバーは円筒形状をしており、石英やパイレ
ックスなどの非導電体材料で作られており、それぞれ
が、図1において説明した型の別々の単一ループアンテ
ナ52、53、54を有している。ただし、確実に均一なプラ
ズマを発生することができるようにアンテナ52、53、54
を整合ボックス18およびRF電源と電気的に直列に接続
し、これによって等しいRF電流が流れるようにしてあ
る。各プラズマ発生器の軸方向の磁界は磁界コイル55、
56、57、58、59、60によって供給される。このようにし
て、プラズマほ独立に発生されて磁界によって共通の長
方形磁気バケット室61に輸送される。プラズマは磁力線
にそって拡散し、バケット61の中に拡がり、バケット61
をプラズマで満たす。バケット室61の中の磁界は、磁極
がバケット室の表面と直交するように、またN極とS極
とが交互になるように配置された永久磁石62によって供
給される。磁石によって供給される磁界パターンは図4A
に示したようになる。コートすべき、あるいはエッチン
グすべき基板63は、電気的に絶縁された基板ホルダー64
の上にマウントされる。プラズマが基板を衝撃すること
によって、基板は0から10Vの間の負の電圧に自己バイ
アスされる。形成すべきフィルムの種類によっては、あ
るいは、エッチングの応用によっては、基板63を高エネ
ルギーイオンで衝撃することが好適である。この場合に
は、RF電力を第2のRF電源(図示せず)から整合回路を
介して基板ホルアー64に印加することが望ましい。な
お、この場合の基板ホルダー64も銅ブロックで構成し、
加熱/冷却循環系65によって冷却あるいは加熱するよう
にすることもできる。
図5は、本発明による装置の他の例であり、大面積に
わたってプラズマを均一に制御する機構を付加させたも
のである。プラズマ発生チャンバー10'は円筒形状をし
ており、石英やパイレックスなどの非導電体材料で作ら
れており、そのまわりをアンテナ12'が90゜より小さい
角度で取りまいている。プラズマ源領域の軸方向磁界は
磁界コイル69、70によって、あるいはこのようなコイル
1つによって供給される。プラズマは磁界によってプラ
ズマ源67から磁気バケット室71まで輸送される。なお、
磁気バケット室71は、図3において説明したものと実際
上同一の設計がなされている。均一性を向上するため
に、また、容易にプラズマをバケット室71まで移送でき
るようにするために、プラズマ発生チャンバー10'の中
心軸と中心を同じくする磁界コイル72を基板ホルダー74
の下にさらに配置している。なお、基板ホルダー74は、
加熱/冷却循環系75によって冷却または加熱される。永
久磁石76がバケット室71の周囲に図2において先に説明
したのと同様にして配置されており、図5Aに示されてい
るような尖端磁界77を発生するようになされている。付
加磁界コイル72には、コイル69、70を流れる電流とは向
きが反対の電流が流される。これによって、磁気尖端
(この場合はリング尖端であるが)がほぼ基板78の平面
内の位置にさらに付加され、この平面は、N極−S極永
久磁石76によって生成される線状尖端と事実上直交す
る。
上記の場合と同じように、ガスが注入ポート11からプ
ラズマ発生器10'に注入され、また他の供給チューブ11'
からステンレス鋼リング36を介してバケット室71にも注
入される。リング36は穴37を具備しており、この穴37の
大きさよりもリング36の直径の方が大きくなっている。
穴37からは基板78に向けてガスが均一に流される。主ガ
ス供給が用いられない場合には、このリング36から、プ
ラズマ源に対してもガスを供給するようにすることもで
きる。変調ドライバ73によって、コイル72を流れる電流
を時間とともに多少変動させることによって、リング尖
端平面を、基板78の平面を中心にして上下方向に変動さ
せるようにし、これによって、基板に入射するプラズマ
の基板をよぎる方向のプラズマプロファイルが時間的に
平均化され、均一性がさらに高められる。下部コイルの
時間変化を用いたこの方法は、図2に示した構成の場合
のような磁気バケットが採用されていない場合において
も、均一性を高めるために採用することができるが、こ
の場合、出口領域のコイル28に、プラズマ発生チャンバ
ー10'のコイル24、25は反対向きの電流を流し、これに
よって、リング尖端を基板平面内に生成するようにす
る。
図6は、本発明による他の例を示したものであり、RF
発生源をスパッタターゲットと組み合わせて用いるのに
適した事例である。プラズマ発生チャンバー10"は、円
筒形状をしており、非導電体材料で作られている。ま
た、プラズマ発生チャンバー10"のまわりには、その縦
軸に直交する平面内に図1の例と同様にアンテナ12'が
取り付けられている。軸方向の磁界はプラズマ発生チャ
ンバー10"のまわりの磁界コイル80,81、および処理室91
のまわりの磁界コイル82、83とによって供給される。プ
ラズマ90は、プラズマ発生器10"から処理室91に輸送さ
れ、さらに磁界にそって、最も下部のスパッタターゲッ
ト93まで流される。なお、スパッタターゲット93は、電
源94によって大きな負電圧(およそ−700V)にバイアス
されている。基板ホルダーが導電体である場合には、DC
電源を用いて、電荷の維持が行われるが、もし基板ホル
ダーが非導電体の場合には、代わりに交流電源が用いら
れる。プラズマの直径は、磁界を制御することによっ
て、ターゲットの直径に順応させられる。ターゲット93
からスパッタされた材料は、ターゲットの周囲に並置さ
れた基板96の上にデポジットされる。ターゲット93の上
部の平面内に配置された水平バッフル97を用いて基板近
傍のガス圧力を制御することができる。またこの水平バ
ッフル97を用いることによって、他のガスを流入口98を
介して基板近傍に導びくようにすることもできる。基板
96は基板ホルダーにスパッタターゲットよりも上に位置
するようにマウントされる。スパッタされた材料を均一
にデポジションできるように、基板ホルダー101は垂直
軸のまわりに回転することが可能となっている。基板ホ
ルダー101は中央部に開口が設けられており、この開口
を通ってプラズマがプラズマ発生器10"から磁界にそっ
てスパッタターゲット93まで達することができるように
なっている。
図7は、図1に示したアンテナを用いた図3に示した
本発明による装置のプラズマ電流密度についてmA/cm2
単位で測定されたデータを示したものであり、測定は高
速注入ラングミューアプローブを用いて基板のすぐ上の
平面内位置において行ったものである。このときのRF周
波数は13.56MHzであり、市販のRF電源を用いて2.0kWのR
F電力を図1に示したような整合ボックスを介して供給
した。このデータは、0から1000ガウスの間のプラズマ
発生チャンバー10'内の磁界に対して、プラズマ電流密
度をこの磁界の関数として求めたものである。0から16
0ガウスまでの磁界に対するデータについては別途図8
に示した。なお、ガス圧力は約1mTorrである。20ガウス
の低い磁界において、電流密度は、ほぼ40mA/cm2に達す
る。さらに磁界を100ガウスあるいはそれ以上に増加さ
せると、140mA/cm2のレベルに達する高いプラズマ密度
が得られる。これらのデータから、低い磁界において共
鳴が存在し、従来知られていなかった、驚くべきほど高
いプラズマ電流密度が得られることがわかる。磁界強度
を単調に増加していくと、中間領域以降において共鳴が
再び起こり、ここでは、電流密度が減少する。
図9は、ガス圧力が2mTorr、プラズマ発生チャンバー
内の磁界が250ガウスであるときに、FR電力の関数とし
て、基板平面に達する全プラズマ流速をアンペアの単位
で測定したときのデータを示したものである。全プラズ
マ流束としては、直径8インチ(20cm)内に達したもの
を求めた。約4インチ(10cm)のプラズマ発生チャンバ
ーから基板上に入射する全プラズマ流束は15アンペアも
の高い値に達している。
図10は図1に示したようなアンテナを備えた図3のよ
うな構成のプラズマ源の動作をプラズマ発生チャンバー
内のガスの圧力の関数として表したものであり、このプ
ラズマ発生源が(1mTorr以下の)の低い圧力から高い圧
力まで、効率よく動作することがわかる。なお、このプ
ラズマ源は、100mTorr以上の高い圧力でも完全な動作が
可能である。
図11はプラズマの均一性について示したものである。
この測定は、プラズマ発生チャンバー10'の出口から6
インチ下の位置のバケット室40の内部に基板45を配置
し、図1に示したようなアンテナを備えた図3のような
装置を用いて行った。プラズマ発生チャンバー10'内の
磁界は150ガウス、圧力は約2mTorrである。プラズマ
は、8インチ(20cm)を越える幅にわたって非常に均一
となっている。これと同程度の均一性が、図5に示した
尖端磁気装置を用いて、磁界コイル69、70に流れる電流
と反対向きの電流を磁気コイル72に流した場合において
も達成されている。
以上に説明したように、本発明のシステムおよび装置
を用いれば、プラズマ発生チャンバーに具備させた単一
ループアンテナを用いて、低い周波数のホイッスラー波
励起を起こさせることによって、均一なプラズマを得る
ことが可能である。適当な磁界条件下における、プラズ
マ負荷、および選択されたRF電力との相互作用によって
プラズマが処理室まで伸張し、所望の形状の大面積にわ
たって高プラズマ電流密度と大きな全プラズマ流速とを
供給することが可能である。低磁界強度および大磁界強
度の2つのモードのどちらも利用可能であり、また、エ
ッチング、デポジション、およびスパッタのいずれの処
理に対しても採用することが可能である。
以上に、本発明を、いろいろな形態や変形について説
明したが、本発明はこれらのみに限定されることなく、
添付の請求の範囲に属するすべての変形を含むものであ
る。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI H01L 21/205 H01L 21/205 21/3065 H05H 1/46 H05H 1/46 H01L 21/302 B (72)発明者 コン、ロバート・ダブリュ アメリカ合衆国 90025 カリフォルニ ア、ロサンゼルス、パーネル・アヴェニ ュ 1818、ナンバー 1 (72)発明者 ピアソン、デビッド・シー アメリカ合衆国 90068 カリフォルニ ア、ロサンゼルス、バーハム・ブールヴ ァード 3674、ナンバー エル115 (72)発明者 デッカムブライアー、アレクシス・ピー アメリカ合衆国 91501 カリフォルニ ア バーバンク、ノース・ケネス・ロー ド 421、ナンバー 205 (72)発明者 ショージ タツオ ナゴヤ、ミズホク、ヨウメイチョウ 1 −5、ヨウメイリョウ (56)参考文献 特開 平3−68773(JP,A) 特開 平1−112639(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01J 37/32 C23C 14/34 C23C 16/50 C23F 4/00 H01L 21/205 H01L 21/3065 H05H 1/46

Claims (36)

    (57)【特許請求の範囲】
  1. 【請求項1】高密度プラズマを発生するためのシステム
    において、該システムが、 円筒形状をしたプラズマ閉じ込めチャンバーを具備し、
    さらにまた、 イオン化すべきガスを上記チャンバーに注入するための
    手段を具備し、さらにまた、 上記円筒形状チャンバーを取り囲む単一ループエレメン
    トを備えたアンテナ手段を具備し、上記ループエレメン
    トが、上記チャンバーの長さ方向にそっての中間的な領
    域に位置する上記チャンバーの中心軸に対して45度を越
    える角度の平面内に配置されており、さらにまた、 上記チャンバーおよび上記アンテナ手段に隣接して配置
    された、軸方向の磁界を上記チャンバー内に発生するた
    めの手段を具備し、さらにまた、 上記アンテナ手段に結合された、上記ループアンテナエ
    レメントを高周波エネルギーで励起するための手段を具
    備し、さらにまた、 上記ループエレメントから与えられる波の電界のモード
    構成が、m=0モードであって、kzをプラズマの軸方
    向における波数とするときに2π/k zのピッチを有して
    おり、かつ、プラズマが低い周波数のホイッスラー波に
    よって励起される、 ことを特徴とするシステム。
  2. 【請求項2】Ωcをイオンサイクロトロン周波数、eB0/
    Miとし、ωcを電子サイクロトロン周波数、eB0/Mとす
    るときに、上記ホイッスラー数がΩc<ω<ωcの関係
    を有する場合において、ωを励起周波数、ωcを電子サ
    イクロトロン角周波数、ωpをプラズマ周波数、aをプ
    ラズマ半径とするときに、前記kzが分散関係式[ω/
    ωc−ωp2/C2k z2=1+(3.83/k z a)と位相
    速度ω/k z とによって定められることを特徴とする、
    請求範囲第1項に記載のシステム。
  3. 【請求項3】上記磁界が1000ガウス以下であり、上記プ
    ラズマ密度が1013/cm3を越える値であり、上記ループエ
    レメントが磁界に対して約90度の角度をなしていること
    を特徴とする、請求範囲第1項に記載のシステム。
  4. 【請求項4】上記システムが第1のプラズマ電流・密度
    ピークを50ガウス近傍に有しており、また第2のプラズ
    マ電流・密度ピークを400ガウス近傍に有していること
    を特徴とする、請求範囲第3項に記載のシステム。
  5. 【請求項5】上記高周波エネルギが13.56MHz帯であり、
    また上記励起手段がインピーダンス整合手段をさらに備
    えていることを特徴とする、請求範囲第4項に記載のシ
    ステム。
  6. 【請求項6】上記ループエレメントから与えられる波の
    電界のモード構成がm=0モードであって2π/k zのピ
    ッチを有しており、ωを励起周波数、ωcを電子サイク
    ロトロン角周波数、ωpをプラズマ周波数、kzをプラ
    ズマの軸方向の波数、aをプラズマ半径とするときkz
    が分散関係式[ω/ωc−ωp2/C2k z2=1+(3.8
    3/k z a)と位相速度ω/kzとによって定められること
    を特徴とする、請求範囲第1項に記載のシステム。
  7. 【請求項7】Ωcをイオンサイクロトロン周波数とする
    とき、Ωc<ω<ωcの関係を有する低い周波数のホイ
    ッスラー波によってプラズマが励起されることを特徴と
    する、請求範囲第6項に記載のシステム。
  8. 【請求項8】上記のプラズマ密度が1013を越え、また、
    上記チャンバーが非磁性体絶縁性チャンバーであること
    を特徴とする、請求範囲第7項に記載のシステム。
  9. 【請求項9】上記円筒形状チャンバーが軸方向に出口開
    口を有しており、当該システムが、さらに、上記円筒形
    状チャンバーに隣接して配置され上記出口開口を介して
    上記円筒形状チャンバーと連絡されている処理室と、上
    記処理室内にて処理すべき要素を支持するための手段と
    を有しており、上記処理室が上記円筒形状チャンバーよ
    りも大きな断面積を有していることを特徴とする、請求
    範囲第1項に記載のシステム。
  10. 【請求項10】上記処理室がプラズマをその中に維持す
    るための手段を有していることを特徴とする、請求範囲
    第9項に記載のシステム。
  11. 【請求項11】上記のプラズマを維持するための手段
    が、上記処理室のまわりの磁気手段からなっており、こ
    れによって磁気パケット室を定めるようになされている
    ことを特徴とする、請求範囲第10項に記載のシステム。
  12. 【請求項12】上記の磁気バケット室の平面構造が長方
    形であり、また上記システムが、それぞれ関連する単一
    ループアンテナエレメントをその周囲に備え、磁気バケ
    ット室にそって配置された、少なくとも2つのプラズマ
    閉じ込めチャンバーを有していることを特徴とする、請
    求範囲第11項に記載のシステム。
  13. 【請求項13】上記システムが、それぞれ関連する単一
    ループアンテナをその周囲に備えた少なくとも2つのプ
    ラズマ閉じ込めチャンバーと、上記アンテナを直列に結
    合して高周波エネルギで上記アンテナを励起するための
    回路手段とを有していることを特徴とする、請求範囲第
    9項に記載のシステム。
  14. 【請求項14】上記システムが、処理すべき基板を上記
    処理室内のプラズマの経路内に維持するための手段をさ
    らに有していることを特徴とする、請求範囲第9項に記
    載のシステム。
  15. 【請求項15】上記システムが、尖端磁界を基板領域内
    に維持するための手段をさらに有しており、上記尖端磁
    界が上記チャンバー内の軸方向磁界と対向するようにな
    されていることを特徴とする、請求範囲第14項に記載の
    システム。
  16. 【請求項16】上記の尖端磁界を維持するための手段
    が、尖端磁界強度を変化させて、基板におけるプラズマ
    流束密度を時間的に平均化させる手段を有していること
    を特徴とする、請求範囲第15項に記載のシステム。
  17. 【請求項17】上記システムが、上記処理室内のプラズ
    マ経路中に配置されたスパッタターゲットおよびスパッ
    タターゲットホルダーと、上記円筒形状チャンバーと上
    記スパッタターゲットとの間のプラズマ経路周辺の上記
    スパッタターゲットからスパッタされた材料の経路内に
    配置された基板手段とを有していることを特徴とする、
    請求範囲第9項に記載のシステム。
  18. 【請求項18】基板を処理するためのプラズマ処理装置
    において、該装置が、 (a)プラズマ発生チャンバーの外部にこれを取りまく
    ように近傍して配置された単一電流ループからなる、周
    波数が典型的にはRFの範囲内である電磁波を放射するア
    ンテナを有し、さらにまた、 (b)円筒状プラズマチャンバーを有し、該円筒状プラ
    ズマチャンバーが上記アンテナに近接して配置され上記
    アンテナと電磁気的な結合を有するようになされてお
    り、該円筒状プラズマ発生チャンバーの縦軸と上記電流
    ループとが互いに直交するか、あるいは90度より小さな
    角度をなすようになされており、さらにまた、 (c)第1の流体インジェクタを有し、該第1の流体イ
    ンジェクタによって流体が上記プラズマ発生チャンバー
    中に導入され、これによって、プラズマを上記プラズマ
    発生チャンバー内に発生させることを可能とするように
    なされており、さらにまた、 (d)磁界発生装置を有し、該磁界発生装置によって磁
    界が発生されるようになされており、さらにまた、 (e)処理室を有し、上記磁界によって該処理室にプラ
    ズマが輸送されるようになされており、さらにまた、 (f)第2の流体インジェクタを有し、該第2の流体イ
    ンジェクタによって流体が上記処理室中に導入されるよ
    うになされており、さらにまた、 (g)電流コントローラを有し、該電流コントローラに
    よって、磁界発生装置内の電流を制御し、これによって
    プラズマの形状を制御するようになされており、さらに
    また、 (h)基板ホルダーを有し、該基板ホルダーが上記処理
    室内に存在するようになされており、さらにまた (i)基板を有し、該基板が上記基板ホルダー上に取付
    けられており、これによって、該基板がプラズマにさら
    されるようになされている、 ことを特徴とする装置。
  19. 【請求項19】さらに、 (j)上記基板ホルダー上に配置された基板に対して高
    周波電力を供給するための補助高周波発生器を有し、さ
    らにまた、 (k)高周波励起装置を有し、該高周波励起装置によっ
    て高周波電圧および電流が発生されるようになされてお
    り、さらにまた、 (l)整合回路を有し、該整合回路によって上記高周波
    励起装置と上記アンテナとが相互接続され、これによっ
    て、上記高周波励起装置から上記アンテナへの高周波エ
    ネルギの効率のよい転送が促進されるようになされてい
    る、 ことを特徴とする請求範囲第18項に記載の装置。
  20. 【請求項20】発生された磁界が事実上上記プラズマ発
    生チャンバーの縦軸と平行となっていることを特徴とす
    る請求範囲第18項に記載のプラズマ処理装置。
  21. 【請求項21】上記基板ホルダーが、実質的に電気的に
    絶縁されている状態で上記処理室内に支持されているこ
    とを特徴とする請求範囲第20項に記載のプラズマ処理装
    置。
  22. 【請求項22】上記基板ホルダーが (a)銅ブロックと、 (b)上記の銅ブロックの温度を調節することを可能と
    する熱制御手段、 とから構成されていることを特徴とする請求範囲第21項
    に記載のプラズマ処理装置。
  23. 【請求項23】上記第2の流体インジェクタが多孔性エ
    レメントとして形成されており、これによって、ガスを
    該エレメント内に導いて該エレメントから上記処理室に
    ガスを逃がすようになされていることを特徴とする請求
    範囲第22項に記載のプラズマ処理装置。
  24. 【請求項24】上記の多孔性エレメントがリングとして
    形成されており、該リングがチューブとして構成されて
    おり、該チューブにはガスが上記リングから上記処理室
    に逃げることができるように穴が開けられていることを
    特徴とする請求範囲第23項に記載のプラズマ処理装置。
  25. 【請求項25】上記プラズマ発生チャンバーが石英円筒
    であることを特徴とする請求範囲第24項に記載のプラズ
    マ処理装置。
  26. 【請求項26】複数の磁石をさらに有し、該磁石が上記
    処理室に近接して円周上に配置されており、隣接する磁
    石のN極とS極とが互いに反対の向きを有するようにな
    されていることを特徴とする請求範囲第18項に記載のプ
    ラズマ処理装置。
  27. 【請求項27】上記の処理室が上記プラズマ発生チャン
    バーの断面積よりも大きな断面積を有することを特徴と
    する請求範囲第26項に記載のプラズマ処理装置。
  28. 【請求項28】上記の処理室が実質的にステンレス鋼に
    よって形成されていることを特徴とする請求範囲第27項
    に記載のプラズマ処理装置。
  29. 【請求項29】上記の処理室の断面が正則幾何学形状を
    していることを特徴とする請求範囲第28項に記載のプラ
    ズマ処理装置。
  30. 【請求項30】さらに磁界発生装置が付加されており、
    該磁界発生装置が上記基板ホルダーの背後における上記
    基板のわきに、上記プラズマ発生チャンバーと対向する
    ように、他の磁界発生装置と実質的に平行な平面内に配
    置され、他の磁界発生装置が発生する磁界と逆向きの磁
    界を発生するようになされていることを特徴とする請求
    範囲第26項に記載のプラズマ処理装置。
  31. 【請求項31】上記基板ホルダーの背後に配置された上
    記磁界発生装置が、時間的に変化する磁界を発生するよ
    うになされていることを特徴とする請求範囲第30項に記
    載のプラズマ処理装置。
  32. 【請求項32】さらに磁界発生装置が付加されており、
    該磁界発生装置が上記基板ホルダーの背後における上記
    基板のわきに、上記プラズマ発生チャンバーと対向する
    ように、他の磁界発生装置と実質的に平行な平面内に配
    置され、他の磁界発生装置が発生する磁界と逆向きの磁
    界を発生するようになされていることを特徴とする請求
    範囲第18項に記載のプラズマ処理装置。
  33. 【請求項33】上記基板ホルダーの背後に配置された上
    記磁界発生装置が、時間的に変化する磁界を発生するよ
    うになされていることを特徴とする請求範囲第32項に記
    載のプラズマ処理装置。
  34. 【請求項34】(a)少なくとも1つのターゲットを有
    し、さらにまた、 (b)バイアス手段を有し、該バイアス手段によって上
    記ターゲットに電圧が供給され、これによって、プラズ
    マイオンが上記ターゲットを衝撃することができるよう
    になされ、また上記ターゲットからの材料が基板上に堆
    積されるようになされている、 ことを特徴とする、スパッタ堆積のための、請求範囲第
    18項に記載のプラズマ処理装置。
  35. 【請求項35】さらに、 (a)複数のプラズマ発生チャンバーを有し、該プラズ
    マ発生チャンバーのそれぞれが協同的に上記処理室に接
    続されており、さらにまた、 (b)複数のアンテナを有し、各アンテナが電磁気的に
    上記の各プラズマ発生チャンバーと結合されており、さ
    らにまた、 (c)複数の磁界発生装置を有し、各磁界発生装置が磁
    気的に上記の各プラズマ発生チャンバーと結合されてい
    る、 ことを特徴とする、請求範囲第18項に記載のプラズマ処
    理装置。
  36. 【請求項36】さらに、 (a)複数のプラズマ発生チャンバーを有し、該プラズ
    マ発生チャンバーのそれぞれが協同的に上記処理室に接
    続されており、各プラズマは上記プラズマ発生チャンバ
    ーの縦軸に対して同軸に発生されるようになされ、さら
    にまた、 (b)複数のアンテナを有し、各アンテナが電磁気的に
    プラズマ発生チャンバーと結合されており、さらにま
    た、 (c)複数の磁界コイルを有し、該磁界コイルが上記処
    理室に近接して配置されており、さらにまた、 (d)複数の磁界発生装置を有し、各磁界発生装置が磁
    気的に上記の各プラズマ発生チャンバーと結合されてお
    り、さらにまた、 (e)上記処理室内に取付けられた複数の基板ホルダー
    を有する、 ことを特徴とする、請求範囲第18項に記載のプラズマ処
    理装置。
JP50577692A 1991-02-04 1992-02-04 高密度プラズマデポジションおよびエッチング装置 Expired - Lifetime JP3271765B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US650,788 1991-02-04
US07/650,788 US5122251A (en) 1989-06-13 1991-02-04 High density plasma deposition and etching apparatus
PCT/US1992/000976 WO1992014258A1 (en) 1991-02-04 1992-02-04 High density plasma deposition and etching apparatus

Publications (2)

Publication Number Publication Date
JPH06506084A JPH06506084A (ja) 1994-07-07
JP3271765B2 true JP3271765B2 (ja) 2002-04-08

Family

ID=24610292

Family Applications (1)

Application Number Title Priority Date Filing Date
JP50577692A Expired - Lifetime JP3271765B2 (ja) 1991-02-04 1992-02-04 高密度プラズマデポジションおよびエッチング装置

Country Status (9)

Country Link
US (1) US5122251A (ja)
EP (1) EP0570484B1 (ja)
JP (1) JP3271765B2 (ja)
KR (1) KR100231221B1 (ja)
AT (1) ATE151569T1 (ja)
AU (1) AU1352192A (ja)
DE (1) DE69218924T2 (ja)
ES (1) ES2102497T3 (ja)
WO (1) WO1992014258A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101542905B1 (ko) * 2013-04-26 2015-08-07 (주)얼라이드 테크 파인더즈 반도체 장치

Families Citing this family (191)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5421891A (en) * 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5429070A (en) * 1989-06-13 1995-07-04 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US6068784A (en) * 1989-10-03 2000-05-30 Applied Materials, Inc. Process used in an RF coupled plasma reactor
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
JP2581255B2 (ja) * 1990-04-02 1997-02-12 富士電機株式会社 プラズマ処理方法
US6251792B1 (en) 1990-07-31 2001-06-26 Applied Materials, Inc. Plasma etch processes
US6444137B1 (en) 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
US20020004309A1 (en) * 1990-07-31 2002-01-10 Kenneth S. Collins Processes used in an inductively coupled plasma reactor
JP3056772B2 (ja) * 1990-08-20 2000-06-26 株式会社日立製作所 プラズマの制御方法ならびにプラズマ処理方法およびその装置
US5208512A (en) * 1990-10-16 1993-05-04 International Business Machines Corporation Scanned electron cyclotron resonance plasma source
US6063233A (en) 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6077384A (en) 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
US5888414A (en) * 1991-06-27 1999-03-30 Applied Materials, Inc. Plasma reactor and processes using RF inductive coupling and scavenger temperature control
US6036877A (en) 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6518195B1 (en) 1991-06-27 2003-02-11 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
US5226967A (en) * 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5397962A (en) * 1992-06-29 1995-03-14 Texas Instruments Incorporated Source and method for generating high-density plasma with inductive power coupling
US5339039A (en) * 1992-09-29 1994-08-16 Arizona Board Of Regents On Behalf Of The University Of Arizona Langmuir probe system for radio frequency excited plasma processing system
US6225744B1 (en) 1992-11-04 2001-05-01 Novellus Systems, Inc. Plasma process apparatus for integrated circuit fabrication having dome-shaped induction coil
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
US5487785A (en) * 1993-03-26 1996-01-30 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5354381A (en) * 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
US5572038A (en) * 1993-05-07 1996-11-05 Varian Associates, Inc. Charge monitor for high potential pulse current dose measurement apparatus and method
US5824158A (en) * 1993-06-30 1998-10-20 Kabushiki Kaisha Kobe Seiko Sho Chemical vapor deposition using inductively coupled plasma and system therefor
US5531834A (en) * 1993-07-13 1996-07-02 Tokyo Electron Kabushiki Kaisha Plasma film forming method and apparatus and plasma processing apparatus
US5430355A (en) * 1993-07-30 1995-07-04 Texas Instruments Incorporated RF induction plasma source for plasma processing
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
FR2709397B1 (fr) * 1993-08-27 1995-09-22 Cit Alcatel Réacteur à plasma pour un procédé de dépôt ou de gravure.
DE4403125A1 (de) * 1994-02-02 1995-08-03 Fraunhofer Ges Forschung Vorrichtung zur Plasmaerzeugung
TW288253B (ja) * 1994-02-03 1996-10-11 Aneruba Kk
US5783100A (en) * 1994-03-16 1998-07-21 Micron Display Technology, Inc. Method of high density plasma etching for semiconductor manufacture
US5435881A (en) * 1994-03-17 1995-07-25 Ogle; John S. Apparatus for producing planar plasma using varying magnetic poles
TW254030B (en) * 1994-03-18 1995-08-11 Anelva Corp Mechanic escape mechanism for substrate
US5620523A (en) * 1994-04-11 1997-04-15 Canon Sales Co., Inc. Apparatus for forming film
DE69531880T2 (de) 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
US5540800A (en) * 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
JP3419899B2 (ja) * 1994-07-26 2003-06-23 東京エレクトロン株式会社 スパッタリング方法及びスパッタリング装置
US5540824A (en) * 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5777289A (en) 1995-02-15 1998-07-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5783101A (en) * 1994-09-16 1998-07-21 Applied Materials, Inc. High etch rate residue free metal etch process with low frequency high power inductive coupled plasma
ATE181637T1 (de) 1994-10-31 1999-07-15 Applied Materials Inc Plasmareaktoren zur halbleiterscheibenbehandlung
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
JP3483327B2 (ja) 1994-11-29 2004-01-06 アネルバ株式会社 プラズマ処理方法
US5468955A (en) * 1994-12-20 1995-11-21 International Business Machines Corporation Neutral beam apparatus for in-situ production of reactants and kinetic energy transfer
JP3426382B2 (ja) * 1995-01-24 2003-07-14 アネルバ株式会社 プラズマ処理装置
US6270617B1 (en) 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5688357A (en) * 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5688358A (en) * 1995-03-08 1997-11-18 Applied Materials, Inc. R.F. plasma reactor with larger-than-wafer pedestal conductor
JP3329128B2 (ja) * 1995-03-28 2002-09-30 ソニー株式会社 半導体装置の製造方法
US5674321A (en) * 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
JP3585578B2 (ja) * 1995-05-30 2004-11-04 アネルバ株式会社 プラズマ処理装置
EP0871795B1 (en) * 1995-06-29 2008-12-31 Lam Research Corporation A scalable helicon wave plasma processing device with a non-cylindrical source chamber
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5653811A (en) 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US6264812B1 (en) 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
US5810932A (en) * 1995-11-22 1998-09-22 Nec Corporation Plasma generating apparatus used for fabrication of semiconductor device
US5686796A (en) * 1995-12-20 1997-11-11 International Business Machines Corporation Ion implantation helicon plasma source with magnetic dipoles
US5767628A (en) * 1995-12-20 1998-06-16 International Business Machines Corporation Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel
US6471822B1 (en) 1996-01-24 2002-10-29 Applied Materials, Inc. Magnetically enhanced inductively coupled plasma reactor with magnetically confined plasma
TW303480B (en) 1996-01-24 1997-04-21 Applied Materials Inc Magnetically confined plasma reactor for processing a semiconductor wafer
GB9602948D0 (en) * 1996-02-13 1996-04-10 Boc Group Plc Thin film deposition
DE19606375A1 (de) * 1996-02-21 1997-08-28 Balzers Prozes Systeme Gmbh Plasmaquelle mit eingekoppelten Whistler- oder Helikonwellen
US6368469B1 (en) 1996-05-09 2002-04-09 Applied Materials, Inc. Coils for generating a plasma and for sputtering
US6254746B1 (en) 1996-05-09 2001-07-03 Applied Materials, Inc. Recessed coil for generating a plasma
KR100489918B1 (ko) * 1996-05-09 2005-08-04 어플라이드 머티어리얼스, 인코포레이티드 플라즈마발생및스퍼터링용코일
DE19621855C2 (de) * 1996-05-31 2003-03-27 Univ Dresden Tech Verfahren zur Herstellung von Metallisierungen auf Halbleiterkörpern unter Verwendung eines gepulsten Vakuumbogenverdampfers
US6087778A (en) * 1996-06-28 2000-07-11 Lam Research Corporation Scalable helicon wave plasma processing device with a non-cylindrical source chamber having a serpentine antenna
WO1998014036A1 (en) * 1996-09-24 1998-04-02 Fusion Systems Corporation Fluorine assisted stripping and residue removal in sapphire downstream plasma asher
US6190513B1 (en) 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
EP0836219A3 (en) * 1996-10-08 1998-09-16 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US6254737B1 (en) 1996-10-08 2001-07-03 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US6514390B1 (en) 1996-10-17 2003-02-04 Applied Materials, Inc. Method to eliminate coil sputtering in an ICP source
US5824602A (en) * 1996-10-21 1998-10-20 The United States Of America As Represented By The United States Department Of Energy Helicon wave excitation to produce energetic electrons for manufacturing semiconductors
US5961793A (en) * 1996-10-31 1999-10-05 Applied Materials, Inc. Method of reducing generation of particulate matter in a sputtering chamber
TW358964B (en) 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6599399B2 (en) 1997-03-07 2003-07-29 Applied Materials, Inc. Sputtering method to generate ionized metal plasma using electron beams and magnetic field
US6103070A (en) * 1997-05-14 2000-08-15 Applied Materials, Inc. Powered shield source for high density plasma
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6652717B1 (en) 1997-05-16 2003-11-25 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6077402A (en) * 1997-05-16 2000-06-20 Applied Materials, Inc. Central coil design for ionized metal plasma deposition
US6361661B2 (en) 1997-05-16 2002-03-26 Applies Materials, Inc. Hybrid coil design for ionized deposition
US6579426B1 (en) 1997-05-16 2003-06-17 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US6373022B2 (en) 1997-06-30 2002-04-16 Applied Materials, Inc. Plasma reactor with antenna of coil conductors of concentric helices offset along the axis of symmetry
US6345588B1 (en) 1997-08-07 2002-02-12 Applied Materials, Inc. Use of variable RF generator to control coil voltage distribution
US6375810B2 (en) 1997-08-07 2002-04-23 Applied Materials, Inc. Plasma vapor deposition with coil sputtering
TW396384B (en) * 1997-08-07 2000-07-01 Applied Materials Inc Modulated power for ionized metal plasma deposition
US6235169B1 (en) 1997-08-07 2001-05-22 Applied Materials, Inc. Modulated power for ionized metal plasma deposition
DE19739894C2 (de) * 1997-09-11 2001-07-05 Muegge Electronic Gmbh Plasmabehandlungsvorrichtung
US6042700A (en) * 1997-09-15 2000-03-28 Applied Materials, Inc. Adjustment of deposition uniformity in an inductively coupled plasma source
US6565717B1 (en) 1997-09-15 2003-05-20 Applied Materials, Inc. Apparatus for sputtering ionized material in a medium to high density plasma
US6023038A (en) * 1997-09-16 2000-02-08 Applied Materials, Inc. Resistive heating of powered coil to reduce transient heating/start up effects multiple loadlock system
US5903106A (en) * 1997-11-17 1999-05-11 Wj Semiconductor Equipment Group, Inc. Plasma generating apparatus having an electrostatic shield
US6271529B1 (en) 1997-12-01 2001-08-07 Ebara Corporation Ion implantation with charge neutralization
US6280579B1 (en) 1997-12-19 2001-08-28 Applied Materials, Inc. Target misalignment detector
US6280563B1 (en) * 1997-12-31 2001-08-28 Lam Research Corporation Plasma device including a powered non-magnetic metal member between a plasma AC excitation source and the plasma
US6074514A (en) 1998-02-09 2000-06-13 Applied Materials, Inc. High selectivity etch using an external plasma discharge
US6635578B1 (en) 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
US6352049B1 (en) 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
WO1999040609A1 (en) * 1998-02-09 1999-08-12 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
US6274459B1 (en) 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
US6189484B1 (en) * 1999-03-05 2001-02-20 Applied Materials Inc. Plasma reactor having a helicon wave high density plasma source
US6254738B1 (en) 1998-03-31 2001-07-03 Applied Materials, Inc. Use of variable impedance having rotating core to control coil sputter distribution
US6146508A (en) * 1998-04-22 2000-11-14 Applied Materials, Inc. Sputtering method and apparatus with small diameter RF coil
US6204607B1 (en) 1998-05-28 2001-03-20 Applied Komatsu Technology, Inc. Plasma source with multiple magnetic flux sources each having a ferromagnetic core
US6611249B1 (en) 1998-07-22 2003-08-26 Silicon Graphics, Inc. System and method for providing a wide aspect ratio flat panel display monitor independent white-balance adjustment and gamma correction capabilities
US6660134B1 (en) 1998-07-10 2003-12-09 Applied Materials, Inc. Feedthrough overlap coil
TW434636B (en) 1998-07-13 2001-05-16 Applied Komatsu Technology Inc RF matching network with distributed outputs
US6132566A (en) * 1998-07-30 2000-10-17 Applied Materials, Inc. Apparatus and method for sputtering ionized material in a plasma
US6231725B1 (en) 1998-08-04 2001-05-15 Applied Materials, Inc. Apparatus for sputtering material onto a workpiece with the aid of a plasma
US6132575A (en) * 1998-09-28 2000-10-17 Alcatel Magnetron reactor for providing a high density, inductively coupled plasma source for sputtering metal and dielectric films
US6238528B1 (en) 1998-10-13 2001-05-29 Applied Materials, Inc. Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
US6213050B1 (en) 1998-12-01 2001-04-10 Silicon Genesis Corporation Enhanced plasma mode and computer system for plasma immersion ion implantation
AU3076100A (en) * 1999-01-22 2000-08-07 Toyo Kohan Co. Ltd. Microwave plasma cvd apparatus
US6217718B1 (en) 1999-02-17 2001-04-17 Applied Materials, Inc. Method and apparatus for reducing plasma nonuniformity across the surface of a substrate in apparatus for producing an ionized metal plasma
US6474258B2 (en) 1999-03-26 2002-11-05 Tokyo Electron Limited Apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
US6237526B1 (en) 1999-03-26 2001-05-29 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
JP3160263B2 (ja) * 1999-05-14 2001-04-25 キヤノン販売株式会社 プラズマドーピング装置及びプラズマドーピング方法
US6458723B1 (en) 1999-06-24 2002-10-01 Silicon Genesis Corporation High temperature implant apparatus
US6409890B1 (en) 1999-07-27 2002-06-25 Applied Materials, Inc. Method and apparatus for forming a uniform layer on a workpiece during sputtering
US6168696B1 (en) 1999-09-01 2001-01-02 Micron Technology, Inc. Non-knurled induction coil for ionized metal deposition, sputtering apparatus including same, and method of constructing the apparatus
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US8696875B2 (en) * 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6320320B1 (en) 1999-11-15 2001-11-20 Lam Research Corporation Method and apparatus for producing uniform process rates
US6341574B1 (en) 1999-11-15 2002-01-29 Lam Research Corporation Plasma processing systems
US6302966B1 (en) 1999-11-15 2001-10-16 Lam Research Corporation Temperature control system for plasma processing apparatus
ATE394789T1 (de) * 1999-11-15 2008-05-15 Lam Res Corp Behandlungsvorrichtungen
US6322661B1 (en) 1999-11-15 2001-11-27 Lam Research Corporation Method and apparatus for controlling the volume of a plasma
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US8617351B2 (en) * 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6894245B2 (en) 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US6853141B2 (en) * 2002-05-22 2005-02-08 Daniel J. Hoffman Capacitively coupled plasma reactor with magnetic plasma control
US7067034B2 (en) 2000-03-27 2006-06-27 Lam Research Corporation Method and apparatus for plasma forming inner magnetic bucket to control a volume of a plasma
US20030010454A1 (en) * 2000-03-27 2003-01-16 Bailey Andrew D. Method and apparatus for varying a magnetic field to control a volume of a plasma
US6463873B1 (en) * 2000-04-04 2002-10-15 Plasma Quest Limited High density plasmas
US6863835B1 (en) 2000-04-25 2005-03-08 James D. Carducci Magnetic barrier for plasma in chamber exhaust
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
US6632322B1 (en) 2000-06-30 2003-10-14 Lam Research Corporation Switched uniformity control
US6694915B1 (en) 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6462481B1 (en) 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6414648B1 (en) 2000-07-06 2002-07-02 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6409933B1 (en) 2000-07-06 2002-06-25 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6685798B1 (en) 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6494998B1 (en) 2000-08-30 2002-12-17 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma using an internal inductive element
US6673199B1 (en) 2001-03-07 2004-01-06 Applied Materials, Inc. Shaping a plasma with a magnetic field to control etch rate uniformity
US7374636B2 (en) * 2001-07-06 2008-05-20 Applied Materials, Inc. Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor
JP4009087B2 (ja) * 2001-07-06 2007-11-14 アプライド マテリアルズ インコーポレイテッド 半導体製造装置における磁気発生装置、半導体製造装置および磁場強度制御方法
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US7504006B2 (en) * 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US7458335B1 (en) 2002-10-10 2008-12-02 Applied Materials, Inc. Uniform magnetically enhanced reactive ion etching using nested electromagnetic coils
US7422654B2 (en) * 2003-02-14 2008-09-09 Applied Materials, Inc. Method and apparatus for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US7232767B2 (en) * 2003-04-01 2007-06-19 Mattson Technology, Inc. Slotted electrostatic shield modification for improved etch and CVD process uniformity
US7022611B1 (en) 2003-04-28 2006-04-04 Lam Research Corporation Plasma in-situ treatment of chemically amplified resist
US7910013B2 (en) 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7795153B2 (en) * 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7452824B2 (en) * 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7470626B2 (en) * 2003-05-16 2008-12-30 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
JP4411581B2 (ja) * 2003-06-13 2010-02-10 株式会社Sen イオン源装置及びそのための電子エネルギー最適化方法
US7527713B2 (en) * 2004-05-26 2009-05-05 Applied Materials, Inc. Variable quadruple electromagnet array in plasma processing
US7686926B2 (en) * 2004-05-26 2010-03-30 Applied Materials, Inc. Multi-step process for forming a metal barrier in a sputter reactor
GB2419894B (en) * 2004-10-22 2009-08-26 Plasma Quest Ltd Sputtering system
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US8179050B2 (en) 2005-06-23 2012-05-15 The Regents Of The University Of California Helicon plasma source with permanent magnets
US7410593B2 (en) * 2006-02-22 2008-08-12 Macronix International Co., Ltd. Plasma etching methods using nitrogen memory species for sustaining glow discharge
JP5111913B2 (ja) * 2007-03-23 2013-01-09 株式会社東芝 光電気混載集積回路
US20080236491A1 (en) * 2007-03-30 2008-10-02 Tokyo Electron Limited Multiflow integrated icp source
US7976674B2 (en) * 2007-06-13 2011-07-12 Tokyo Electron Limited Embedded multi-inductive large area plasma source
JP5520455B2 (ja) * 2008-06-11 2014-06-11 東京エレクトロン株式会社 プラズマ処理装置
CN102428545B (zh) * 2009-08-25 2014-05-07 佳能安内华股份有限公司 等离子体处理装置以及器件的制造方法
GB201006567D0 (en) * 2010-04-20 2010-06-02 Plasma Quest Ltd High density plasma source
US8590485B2 (en) * 2010-04-26 2013-11-26 Varian Semiconductor Equipment Associates, Inc. Small form factor plasma source for high density wide ribbon ion beam generation
JP5800532B2 (ja) * 2011-03-03 2015-10-28 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6244103B2 (ja) * 2012-05-04 2017-12-06 ヴァイアヴィ・ソリューションズ・インコーポレイテッドViavi Solutions Inc. 反応性スパッタ堆積のための方法および反応性スパッタ堆積システム
TWI495746B (zh) * 2013-11-13 2015-08-11 Mingdao University 沉積系統
TWI670749B (zh) * 2015-03-13 2019-09-01 美商應用材料股份有限公司 耦接至工藝腔室的電漿源
SG11202011069RA (en) 2018-06-14 2020-12-30 Mks Instr Inc Radical output monitor for a remote plasma source and method of use
GB2576544A (en) * 2018-08-23 2020-02-26 Dyson Technology Ltd An apparatus
GB2588932B (en) * 2019-11-15 2022-08-24 Dyson Technology Ltd Method and apparatus for sputter deposition of target material to a substrate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1986006923A1 (en) * 1985-05-03 1986-11-20 The Australian National University Method and apparatus for producing large volume magnetoplasmas
US4859908A (en) * 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
DE58904540D1 (de) * 1988-03-24 1993-07-08 Siemens Ag Verfahren und vorrichtung zum herstellen von aus amorphen silizium-germanium-legierungen bestehenden halbleiterschichten nach der glimmentladungstechnik, insbesondere fuer solarzellen.
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5032202A (en) * 1989-10-03 1991-07-16 Martin Marietta Energy Systems, Inc. Plasma generating apparatus for large area plasma processing

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101542905B1 (ko) * 2013-04-26 2015-08-07 (주)얼라이드 테크 파인더즈 반도체 장치

Also Published As

Publication number Publication date
EP0570484B1 (en) 1997-04-09
ES2102497T3 (es) 1997-08-01
JPH06506084A (ja) 1994-07-07
EP0570484A1 (en) 1993-11-24
KR930703694A (ko) 1993-11-30
ATE151569T1 (de) 1997-04-15
WO1992014258A1 (en) 1992-08-20
AU1352192A (en) 1992-09-07
KR100231221B1 (ko) 1999-11-15
US5122251A (en) 1992-06-16
DE69218924D1 (de) 1997-05-15
DE69218924T2 (de) 1998-01-15

Similar Documents

Publication Publication Date Title
JP3271765B2 (ja) 高密度プラズマデポジションおよびエッチング装置
US4990229A (en) High density plasma deposition and etching apparatus
US5091049A (en) High density plasma deposition and etching apparatus
US5648701A (en) Electrode designs for high pressure magnetically assisted inductively coupled plasmas
US5429070A (en) High density plasma deposition and etching apparatus
Korzec et al. Scaling of microwave slot antenna (SLAN): a concept for efficient plasma generation
US5421891A (en) High density plasma deposition and etching apparatus
JP5567005B2 (ja) 回転可能なターゲットを備えたマイクロ波を援用したpvd
KR100472582B1 (ko) 플라즈마처리장치
US6228229B1 (en) Method and apparatus for generating a plasma
KR100255703B1 (ko) 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법
US5178739A (en) Apparatus for depositing material into high aspect ratio holes
JP2010525155A (ja) プラズマ発生装置
JP4671313B2 (ja) 同軸マイクロ波アプリケータを備えた電子サイクロトロン共振プラズマ源およびプラズマ生成方法
JP2004501277A (ja) マグネトロンスパッタリングを向上させる誘導プラズマループ
JPH0586021B2 (ja)
JPH11135438A (ja) 半導体プラズマ処理装置
JP3267174B2 (ja) プラズマ処理装置
US5506405A (en) Excitation atomic beam source
Yonesu et al. Cylindrical DC magnetron sputtering assisted by microwave plasma
US20210327690A1 (en) Method for generating and processing a uniform high density plasma sheet
JP3823001B2 (ja) プラズマ処理装置
JP4523566B2 (ja) ドライエッチング装置
JPH1154296A (ja) プラズマ発生装置およびプラズマ装置
Yoshida Plasma properties in the open‐ended region of a coaxial‐type microwave cavity

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090125

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090125

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100125

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110125

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120125

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130125

Year of fee payment: 11

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130125

Year of fee payment: 11