JPH06506084A - 高密度プラズマデポジションおよびエッチング装置 - Google Patents

高密度プラズマデポジションおよびエッチング装置

Info

Publication number
JPH06506084A
JPH06506084A JP4505776A JP50577692A JPH06506084A JP H06506084 A JPH06506084 A JP H06506084A JP 4505776 A JP4505776 A JP 4505776A JP 50577692 A JP50577692 A JP 50577692A JP H06506084 A JPH06506084 A JP H06506084A
Authority
JP
Japan
Prior art keywords
plasma
magnetic field
chamber
substrate
antenna
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP4505776A
Other languages
English (en)
Other versions
JP3271765B2 (ja
Inventor
キャンベル、グレガー・エー
コン、ロバート・ダブリュ
ピアソン、デビッド・シー
デッカムブライアー、アレクシス・ピー
ショージ タツオ
Original Assignee
トリコン・テクノロジーズ・インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=24610292&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JPH06506084(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by トリコン・テクノロジーズ・インコーポレーテッド filed Critical トリコン・テクノロジーズ・インコーポレーテッド
Publication of JPH06506084A publication Critical patent/JPH06506084A/ja
Application granted granted Critical
Publication of JP3271765B2 publication Critical patent/JP3271765B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32688Multi-cusp fields
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electron Sources, Ion Sources (AREA)
  • Manufacture, Treatment Of Glass Fibers (AREA)
  • ing And Chemical Polishing (AREA)
  • Physical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるため要約のデータは記録されません。

Description

【発明の詳細な説明】 宣密 プラズマデポジションおよびエツチング装置既1低用匁団」 本発明は1989年6月13日に米国特許出願第07/365,533として出 願され、1991年2月5日に米国特許第4,990,229として発布された 特許の一部継続出願であり、これをここに参照併合するものである。
犬遭目と1量 1、発明の分野 本発明はプラズマデポジション、あるいはエツチング方法と、薄膜を基板上に堆 積させるための、あるいは薄膜を基板から除去(エツチング)するためのいろい ろな装置とに関するものである。
2、関連技術に関する説明 エツチング プラズマエツチングは、化学的に活性な原子あるいは高エネルギーイオンを用い て基板から材料を除去する工程を含む方法である。この技術は半導体集積回路の 製造のキーとなる基本的重要技術である。しかしながら、電子サイクロトロン共 鳴(ECR)を用いたマイクロ波プラズマが出現するまで1よ、従来のプラズマ エツチング技術では素子集積密度の増大に伴う要求を満すことが困難であった。
特に、アンダーカットなじ′に微細なパターンのエツチングを行うこと(異方性 エツチング)、低損傷であること、高選択性であることのごれらの要求のすべて を同時に満たす7.ことは困難であった。
いて材料を基板上に堆積するための技術として広く用いられている。通常のCV Dでは、基板の温度によって化学反応が引き起こされるが、多くの応用ではこの 化学反応は高い温度(>SOO℃)で起こる。この方法では、高い基板温度が必 要とされることが、いろいろな応用、特にマイクロエレクトロニクス、表示装置 、光学的コートにおいて広く用いるための妨げとなっていた。これに対し、プラ ズマの役割は化学的ガスを分解し、活性化して基板温度が低くてもすむようにす ることである。分解、活性化、おおびイオン化の速度はプラズマ密度に比例する 。従って、プラズマを可能な限り高密度とすることが重要である。
スパッタリング スパッタリングも、硬質被膜あるいは化粧被膜やガラス被覆の製造などのいろい ろな応用において、材料を基板上に堆積するのに広く用いられている方法である 。一般に、プラズマがスパッタターゲット材料位置において生成され、スパッタ ターゲットが700V前後の負電圧にバイアスされる。プラズマイオンとしては 一般にアルゴンが用いられるが、これが材料表面を衝撃してスバ・ツタし、スパ ッタされた材料が中性原子として基板まで移送される。また、反応性スパッタデ ポジションと呼ばれているプロセスを用いて、反応性ガスを導入して、スパッタ された原子とホスト基板上で化学反応を起こさせるようにすることも可能である 。しばしば、速度が重要となるので、プラズマを可能な限り高密度とすることが 重要である。また、反応性ガスのイオン化も重要であるので、プラズマを基板材 料の近傍に維持することによってイオン化の促進が行われる。スパッタリングは 、イオンガンあるいはプラズマガンによってイオンを加速し、この加速されたイ オンをスパッタターゲットにぶつけることによって行うこともできる。この場合 には、ターゲットにバイアス電圧を加える必要がない。絶縁性材料をスパッタす るためには、RFバイアス電圧をスパッタターゲットに加えることが必要である 。
匡正皇方澹 プラズマデポジションおよびエツチングに現在広く用いられている2つの方法が ある。すなわち、平行板リアクタと、ECRプラズマデポジション装置とである 。
平t−リアクタ ダイオード RFダイオードはデポジションおよびエツチングの両方に広く用いられており、 チャツプマンの著書(「グロー放電プロセス」、ジョン・ワイリー・アンド・サ ン、1980年)に詳細に記載されている。この方法では、電極の一方を13. 56MHzのRFに結合し、他方の電極をアースに接続する。系の圧力は通常1 mTorr〜ITorrであり、典型的なプラズマ密度は1010電子/ c  m ”である。デポジションあるいはエツチングのどちらもその速度はプラズマ 密度と、エツチングあるいはCVDプロセスに用いられる反応性ガス密度(圧力 )とに依存する。
エツチングにおいては、イオンとバックグランド・ガスとの間に衝突を起こさせ るための放電を維持するのに高い圧力が必要である。この衝突によって、エツチ ングイオンあるいは原子の行路が無規則化され、すなわち一定の方向性をもたな くなり、その結果としてマスクの下側にまわりこんだアンダカットが発生するこ とになる。
これは、等方性エラングと呼ばれている。工・ソラング原子あるいはイオンに方 向性をもたせて、真っ直ぐな異方性エツチングを達成することが望ましいことで ある。RFダイオード放電で用いられる高い圧力で異方性工・ソラングを行うに は、イオンは高いエネルギー(数百eV以J:、)を有している必要がある。し かし、イオンのエネルギーが高いと、基板、薄膜材料、あるいはフォトレジスト に損傷を与えてしまう。
プラズマは、陰極にイオンが衝突することによって放出される2次電子によって 維持される。これらの電子は、シースに発生する通常400〜100OVの電圧 差によって加速される。これらの高速電子は基板に衝突し、大きなシース電圧降 下をもたらす。この高電圧はイオンを加速して、基板あるいは薄膜材料に損傷を もたらすことになる。高エネルギー電子の存在は、高シース電圧降下をもたらす ので望ましからぬものである。
電子サイクロトロン共、プラズマ 2.45GHzのマイクロ波と875ガウスの磁界による電子サイクロトロン共 鳴が使用されるようになって、低い圧力で高密度プラズマを発生させることが可 能となった。プラズマエツチングにおけるこの技術の利点は、鈴木による「マイ クロ波プラズマエツチング」と題された真空34.10/11号、1984年に 掲載された論文において述べられている。ガス圧力が低く (0,04〜0.4 Pa)、プラズマ密度が高い(1,7〜7X1()11電子/cm”)ために、 異方性エツチングを大きなエツチング速度で実現することが可能である。
また、鈴木は、米国特許第4,101,411において、ECRを用いたプラズ マエツチング装置について記述している。また松尾は、米国特許第4,401, 054において、ECRを用いたプラズマデポジション装置について記述してい る。
この技術は平行板リアクタよりも、いろいろな点において望ましいものであるが 、しかしその一方でいくつかの限界がある。まず、非常に大きな磁界(1〜2k G)が必要である。このことは、重くて、大きな電力を消費する電磁石が必要で あることを意味している。最大密度は、ある構造においてはカットオフにより制 限され、また別の構造においては、屈折によって制限され、1×1()12電子 / c m”程度の値が限界である。また、マイクロ波を発生して伝送するため の電源装置やその他の必要なハードウェアが高価なものとなる。また、均一性( すなわち、プラズマプロファイル幅)があまり良好とはいえない。
l肌Ω1 本発明は低い周波数のRFホイッスラー波を用いて、プラズマエツチング、デポ ジション、およびスパッタリング装置に用いるための高密度のプラズマを発生さ せるものである。ガスがソースチューブに注入され、またその中心軸にそって磁 界が印加される。また、このソースチューブと組み合わされて、単一ループアン テナがこのソースチューブの中心軸に直交する平面内に配置される。
M=Oのモードのみを励起することが望まれる場合には、アンテナ平面の角度は 90°となされ、またM=0とM=1の両方の成分を励起することが望まれる場 合には90°以下になされる。ガスは0.1mTorrから200mTo r  rの圧力の不活性ガスまたは反応性ガスが用いられる。磁界強度としては10か ら1000ガウスの範囲が用いられ、またアンテナの駆動は2MHzから50  M Hzの範囲の周波数の100Wから5kWのRFIネルギーによって駆動さ れる。チューブソースの軸にそってガス注入端から十分に離れた位置にアンテナ を配置し、開放出口領域を形成する他端が処理室に通じるようにする。このよう にすると、単一ループアンテナは驚くべき高効率の波の結合を実現することがで き、高密度、大電流プラズマを生成できる。
本発明の他の態様では、このプラズマ源によって生成されたプラズマは、処理室 の壁から離れた位置にプラズマを維持するための磁気パケットシステムを具備し ている処理室に供給される。この組み合わせ構成によって、円状の大きな領域に わたってプラズマ密度を均一にすることができ、大きな基板に対してエツチング あるいはその他の処理を行うことができる。また、他の態様においては、処理し ようとしている材料の表面に磁気尖端領域を生成することによって、その領域の プラズマがさらに均一なものとされる。この特徴は、基板に対する磁気尖端位置 を時間的に変調することによって均一性を高め、基板位置に対する敏感性を低減 することにある。
また、磁界を拡げることによって、大面積にわたってデポジションあるいはエツ チングを行うことができるようにし、また、複数のアンテナを有する系のアンテ ナを直列駆動することによって電流値が一定となるようになされる。他の態様に おいては、正方形あるいは長方形の基板のコートまたはエツチングを行うための 1つあるいはそれ以上の複数の幾何学的領域が設けられるか、あるいは大きな基 板のコートあるいはエツチングを行うための直線的並置構造が設けられる。
の な! ■ 図1は、本発明に従って作成されたプラズマ源の中のRF電流の流れと動作原理 とを示した概略図である。
図2は、図1に示されたようなプラズマ源と組み合わされたプラズマデポジショ ン装置あるいはプラズマエツチング装置の基本構造を示した概略図である。
図3は、本発明による装置の第2の例を示したものであり、均一性が重要となる 領域に磁気パケット領域を形成し、そこにプラズマ源領域を結合させた例の概略 図である。
図3Aは、図3の装置の直線3A−3Aに関する平面図である。
図4は、均一性が重要である大きな長方形領域において、デポジションあるいは エツチングを行うための、本発明の装置の第3の例の斜視図である。
図4Aは、図4の装置の直線4A−4Aに関する平面図である。
図5は、本発明の装置のさらに他の例の概略図であり、基板ホルダ一平面の背後 に底部磁石を付加して尖端磁界を供給し、尖端磁界平面が基板ホルダ一平面とお よそ同じとなるようにしたものである。
図5Aは、図5の装置の直線5A−5Aに関する平面図である。
図6は、スパッタデポジションのための、本発明による装置の一例の平面図であ る。
図7は、図1に示したプラズマ源を図3に示した例に用いた場合の、基板位置に おけるプラズマ電流密度をプラズマ源領域の磁界の関数として示したグラフであ る。
図8は、図7と同じデータを磁界を直線目盛りでグラフ表示させた1ものであり 、磁界がOから160ガウスと小さい値を示す基板位置におけるプラズマ電流密 度を示したものである。
図9は、図1に示したようなプラズマ源を図3に示したような本発明に用いた場 合における、基板位置での全プラズマ電流(すなわち、全フラックス)を、ガス 圧力が2mTo r rのプラズマ源に対して加えたRF電力の関数として示し たグラフである。
図10は、図1に示したようなアンテナを図3に示したような本発明に用いた場 合における、基板位置での全プラズマ電流をガス圧力の関数として示したグラフ である。
図11は、図1に示したようなプラズマ源を図3に示したような本発明に用いた 場合における、基板位置での全プラズマ電流を基板の幅方向の場所の関数として 示したグラフであり、基板の幅全体にわたって良好な均一性を示している。
光泄目とW側ノ14朋 図1は、本発明の装置に用いられる主要な要素とこれらの要素の間の関係につい て、概略を1、図式的に示したものである。図において、高密度プラズマは、一 般に中心軸(この場合では垂直な軸)を中心とする円筒形状のソースチューブ1 0.内で発生される。1端(この場合では上端)からインジェクタ11によって イオン化すべきガスがソースチューブの内部に供給される。ソースチューブ内部 に供給されたガスは、ソースチューブの中央領域を取り囲んでいる外部ループア ンテナ12によって励起される。この例のアンテナループ12は、中心軸に対し て90°あるいはそれ以下の角度の平面内に配置された、はぼ円状ではあるが完 全な 円ではないエレメントから構成されている。プラズマは、この例では出口 13に向かって下方向に伝搬する。アンテナループ12の対向端は、それぞれ同 軸駆動ライン16の外側導体14と中心導体15とに接続されている。この同軸 駆動ラインには整合ボックス18を介してRFエネルギー源19からエネルギー が送られる。整合ボックス18中の1対の可変真空キャパシタ20.21を調節 することによってアンテナ負荷と整合ブックスのりアクティブ負荷とを足し合わ せたものがおよそ50Ωとなるように回路が同調され、反射電力が最小化される ようになされている。
アンテナの同調および波のスペクトルは、プラズマ場条件と、ソースチューブの まわりの少なくとも1つの磁界コイル22によって生成される軸方向内部磁界と 関連する条件とに整合するように調節される。この整合条件は、′分散関係を表 す理論によって次のように見積もることができる。
[(IJ/ ωe−ωp”/ C”k z21 ”=1 + (3,83/ k za) ”RFIネルギー源19からの13.56MHz、2゜OkW程度(1 00Wから5kWの範囲)のRFエネルギーでアンテナループ12を駆動すると 、効率よく波を結合させて、高プラズマ電流密度(mA/cm”)を得ることが できる。コイル22が発生する磁界の大きさとしては、いろいろな有用な応用に 対して、10から1000ガウスの範囲の値が用いられる。ガスとしては、この 例ではアルゴンが用いられ、およそ1mTorrの圧力に維持される。アルゴン のような不活性ガスに加えて、SF6、塩素、酸素、および酸素混合ガスなどの 反応性ガスを用いて、かなり良好な結果を得ることもできる。
圧力としては、もし他の変数が適切に考慮されている場合には、0.1mTor rから200mTo r rの範囲を用いることができる。5kWの電源を用い たとしたとき、実際に利用可能な最大有能電力はこれよりもずっと小さな値とな り、応用にもよるが数百ワット程度である。
周波数としては、13.56MHzが製品としているいろなものを入手可能であ るが、2MHzから50MHzの範囲のものを好適に用いることができる。
図1のアンテナループ12はソースチューブ10の軸線に対して90°をなして いる。この方向のときには、M=Oモードで励起が起こるが、角度を90°から 小さくしていくとM=0成分とともにM=1モード成分も励起されるようになる 。軸線に対する角度を90°以下にするには、これに対応して、より長いループ アンテナ12が必要となるので、実用上は45°が限界である。多くの場合には 、60”から90°の範囲の角度が好適である。注意すべきことは、ループ12 は軸線に対して直交しているか、あるいは傾いている平坦な平面内に配置される ということである。従来技術の2重ループを用いた構造、あるいはその他の構造 においては、らせん波の特徴を得るためにループ部分はらせん状の経路を形成し ている必要があるものと思われていたが、以下に示すように本発明が効果を有す ることを考えれば、これは正しくない。しかし、プラズマとRFエネルギーとの 間の必要な相互作用を起こすために、また、高密度を達成するための適切な励起 を実現する分散関係式を満たすには、アンテナループ12がソースチューブ10 の閉端部分(ガス入り口)から十分に離れた位置にあることが重要である。しか し、長さが長くなり過ぎると、適切な波数が得られなくなってしまう。実際上は 、直径が1〜4インチ、長さが8〜9インチのソースチューブに、閉端からおよ そ3分の1あるいはそれ以上の位置にアンテナループを備えるようにする。
このような装置を用いると、低い周波数のホイツスラー波を発生させることがで きる。しかし、波のエネルギーとプラズマとの間の相互作用の機構は完全にわか っているわけではない。分散関係式を用いて簡単な解析を行うことは不可能であ る。RF場の中にプラズマ負荷を置くと、適当な条件下では選択的な相互作用が 起こり、ガス密度と誘電体特性とによって、存在し得る波数が決定されるという ことらしい。従って、ある意味では、プラズマ自身によって相互作用の波長があ らかじめ決定されてしまい、その結果として、kzの値が定まり、これと適合す るアンテナからの放射スペクトルが選択されてプラズマの励起が行われるという ことのようだ。
ホイッスラー波のプラズマ中での伝搬に関する物理については、他のことがらと 関連して研究されている。円筒幾何学形状における場合のこのような波は、一般 に、ヘリコン波と呼ばれている。古典的なヘリコン波については、レヘイムとト ネマンとによって初めて研究され、次の方程式に従うことがわかっている。
×旦=δ旦/δt、×且=μ。丈、・呈=OE=JXBo/eno、Ez=77 Jzただし、Eは電界、Bは磁界、Jは電流密度、Boは真空中での磁界、eは 電子の電荷、noはプラズマ密度、ηはプラズマの抵抗率である。
チェノが行った式の導出方法に従えば、BeXp (i(mθ+kz−ω1)) の形の摂動を容易にめることができ、η=Oの極限においては、上記の方程式か ら次の式が得られる。
2B+α3B=0 ただし、α=(ω/k)(μ。e n o/ B) 、J = (α/μ。)B 10は波の角周波数、μ。は誘電率、kは波数でありλを波長とするとき2π/ λに等しい。これらの方程式を円柱座標に対して解くと、次の分散関係式が得ら れる。
maJm(Ta)+TkaJm’ (Ta)=0ただし、JIllは第1種ベッ セル関数であり、Jm’ はその引数に関しての導関数、aはプラズマ半径、ま た、Tは次の式によって定義される横方向の波数である。
T″=α2−に2 また、mがBexp (i (mθ+kz−ω))の形の摂動のθの依存性を表 すモード数であるということは記憶しておくべき重要なことがらである。最もモ ード数が低い2つの式は以下のようになる。
J工(Ta)=0 (m=0) J□CTa)=Tka/2aCJz−Jo) (m=1)これから、m=oのモ ードに対しては、次の簡単な関係式が得られる。
[((IJ / (L) C) (CL) p’/ c 2k z”)コ”=  1 + (3,83/ k za ) ”ただし、ωC=サイクイタン角周波数 ωp=プラズマ周波数 この導出式は、アンテナから所望のモードをいかにして励起するかを理解するの に重要である。
他の理解すべき重要な機構として、プラズマによる波の減衰がある。ボスウェル の論文によると、電子衝突による波の減衰では実験事実を説明することができな い。
一方、チェノは、ランダウ減衰波によって実際に観察されるような大きな減衰が 起こることをつきとめた。ランダウ減衰は、波の位相速度にほぼ等しい速度を有 するプラズマ中の粒子によって起こされるものであり、衝突によらないプラズマ 中での波の減衰である。これらの粒子が波によって輸送されるとき、粒子は急激 な電界の変動とは出会わないので、効率よくエネルギを波と交換することができ る。プラズマ中には、波の速度よりも速い電子と遅い電子との両方が存在する。
しがし、マックスウェル分布においては、遅い電子の方が速い電子よりも数が多 いので、波からエネルギーをもらう粒子の方が、その逆の電子よりも多い。
ランダウ減衰による減衰率は、ヘリコン波に対する場合についてチェノによって 計算されており、次のように表される。
減衰率= J m(kz)/ Re (kz)2r c 2(3,8/ a) ”ξ3e− ξまただし、ξ=ω/kzVth であり、またvthはプラズマ電子の熱速度 である。減衰率は、ξの鋭い関数であるが、kの値に対してどの程度敏感である かを示しておくことは興味あることである。例えば、密度が1012電子/Cm 3、電子温度が3eV、駆動周波数が8MHzのプラズマを例として取り上げて みよう。この場合の衝突による減衰率は0.065であり、一方、ランダウ減衰 率はkz=o、25cm−’に対しては0.6であり、kz=o。
125cm−’に対しては0.0005である。ランダウ減衰が重要な減衰機構 となっており、またランダウ減衰が波数kzに対して非常に依存するということ が明らかであろう。
プラズマを生成するためのホイスッラー波を励起するためのアンテナ構造を考案 する上で以下のような多くの重要な要因がある。すなわち、(a)励起周波数、 (b)波のモード、(c)RF電力とプラズマとの結合効率である。ΩCをイオ ンサイクロトロン周波数e B o / M iとし、ωCを電子サイクロトロ ン周波数eBo/Mとするとき、波の周波数はΩCくωくωCを満たしている必 要がある。このような波は電子サイクロトロン周波数と比較して、はるかに低い 周波数の波である。
波の電界および磁界モード構成はアンテナ配置によってRF電力を効率よく波の 励起へ結合するようになされていなければならない。先に議論したように、最も 低次の2つのモードはM=0およびM=1である。M=Oのときの波の電界モー ド構成は波の伝搬方向2にそっての空間的に異なる横断面に配置された半径方向 および円周方向の電界ベクトルを有している。1波長分だけ波が伝搬するとき、 電界の向きが純粋な半径方向から純粋な方位方向へと変化する。方位方向電界は 、ある平面上においては反時計方向であるが、そこから離れるにつれて変化し、 半波長離れた場所では時計方向となる。このことを理解すれば、磁界に対して直 交する平面上に配置された単一ループを有するアンテナを用いて、波を励起させ 、このときに発生されるスペクトルの一部に2π/ k zが含まれるようにす ることによって、このモードの波を効率よく励起することが可能であることがわ かるであろう。
ただし、ここで、kZは先に述べた分散関係式から定まる。一方、M=1のモー ドにおける波の電界モード構成では、波がZ方向にそ3て伝搬するときに、自然 な螺旋ピッチが電界ベクトルおよび磁界ベクトルに対して与え2方向のBoにそ って波が伝搬するとき電界ベクトルは時計方向に回転する。このモードの励起は 、本発明において単一ループを磁界に対して傾け、発生される波のスペクトルが 2π/ k zの周囲のかなりの部分を含むようにすることによって可能である 。ただし、kzは分散関係式から定まる量である。
プラズマの生成効率はRFエネルギーのプラズマへの結合に依存する。先に議論 したように、RFエネルギーの減衰に対する重要な機構はランダウ減衰であるも のと信じられる。kzが分散関係式によって与えられたとき、ホイッスラー波の 位相速度はω/ k zとなり、プラズマ密度とプラズマが存在しないときの磁 界強度とに依存する。理想的には、波の位相速度はイオン化しようとしているガ スの最大イオン化ポテンシャルに近いものとなっているべきである。m=oのモ ードに対しては、上記の分散関係式から n=aBokz(T”+kz”)”” が得られる。ただし、T<kzに対して、α=B、kz”である。言い換えれば 、 kzの値が大きいほど、密度が大きくなる。しかし、波の位相速度はω/ k  zで与えられるから、kzが増加すると波によって加速される電子のエネルギー が減少してしまう。もし、kzが大きすぎる場合には、電子のエネルギーはイオ ン化ポテンシャル以下となってしまう。従って、kzを制御することが、密度を 増加し、また電子温度を制御するために重要である。
本発明では、低い周波数のホイッスラー波を用いて、1cm”当たりの密度が 101sを越える高密度のプラズマを生成させる。ホイッスラー波を高密度プラ ズマの生成に用いる最初の報告はボスウェルによって行われたが、彼が用いたア ンテナは、電流が円筒軸にそって流れる構造のものであった。このようなアンテ ナ構造は以前から用いられていたものであり、オブチニコフが報告しているよう に、このアンテナでは、磁界BOの方向と平行な方向に走る導体中を流れる電流 によって、m=1のモードが励起される。励起周波数は8mHzであり、また1 0cmのプラズマの密度プロファイルは大きなピークのあるものであった。高密 度を得るために必要となる磁界強度の大きな場所において特にピークが発生して いる。また、これらの文献では、RFエネルギーをプラズマに効率良く結合する ための機構については説明されていない。機構をランダウ減衰であるとして説明 したのは、チェノのオーストラリア国立大学報告であった。
チェノは1988年に発表した論文において、最新粒子加速装置用の、ホイッス ラーを用いた高密度プラズマ発生装置について述べている。この装置に用いられ たアンテナの型は、m=1のモードを励起するボスウェルが用いたものと類似の ものであり、互いに離して配置された円状端末ループに互いに逆位相の信号を励 起する、名古屋■型アンテナとして知られていた種類のものであった。
本発明による単一ループアンテナが高密度プラズマを効率よく生成することがで きるのだということをいったん認識しさえすれば、多くの変形が可能である。2 軸方向の波数kzは比n / Bとともに変化し、また、波長λの逆数に比例し て変化し、より長いソースチューブを必要とする。逆に、もしBが減少すると、 波長が短くなってチューブの大きさにかかわらず必要な波数が達成されるので、 プラズマ密度は比較的高くなる。
この方法の結果は、以下に述べるいろいろなプロセスユニットを研究することに よって最もよく理解することができる。
本発明のプラズマデポジションあるいは工・ソラング装置の基本構造を図2に示 す。プラズマ発生チャンノ(−10′は円筒状の形状をしており、石英あるいは )くイレ・ツクスなどの非導電体材料で作られている。アンテナ12′は図1に 関連して説明したようにプラズマ発生チャンノく一10°の軸線に対して傾けて 取り付けられて0る。軸方向の磁界が、図2に示されているように磁界コイル2 4および25によって供給されるが、ただし、プラズマはこれらのコイルの中の どちらか1つを用いてしか動作しない。
プラズマは磁界によって別の処理室27まで輸送される。処理室27中に現れる プラズマの形状は、処理室27の出口周辺のコイル28の中を流れる電流の量と 方向とを変えることによって制御することができる。コートすべき、あるいはエ ツチングすべき基板30は、電気的に絶縁された基板ホルダー31上にマウント される。プラズマが基板30に衝突することによって、基板30は0から10V の範囲の負の値に自己バイアスされる。形成すべきフィルムの種類によっては、 フィルムに対して、自己バイアスによって得られるエネルギーよりも、さらに大 きなエネルギーを有するイオンの衝撃を与えることが望まれることがある。その ような場合には、RF電力を第2のRF源33から第2の整合回路34を介して 供給するようにするのが望ましい。基板ホルダー31は、加熱/冷却循環系35 によって冷却あるいは加熱される銅のブロックからなっている。ガス供給源に結 合されたステンレス鋼の注入チューブ11゛を介してガスがプラズマ発生チャン バー10°に対して注入される。ステンレス鋼リング36のまわりには穴37が 等しく分布しており、リング36の径は穴37の大きさよりも大きくなっている 。これらは、ガスが均一に基板30に向かって流れるように配置される。RF電 圧は、図1と関連して述べたように、RF源19および整合回路18によってア ンテナ12°に印加される。整合回路18で同調を行うことによって、プラズマ に結合される電力を最大化し、また反射して50Ωケーブル38をRF電源19 まで戻ってくる電力が最小化される。
図3は、大面積にわたって高度な均一性が要求される場合の構成を示したもので ある。プラズマ発生チャンバー10′は円筒形状をしており、また石英やパイレ ックスなどの非導電体材料で作られている。アンテナ12は、直角よりも小さな 角度で取り付けられていることを除いて図1に記載されているのと同様である。
また、軸方向の磁界が磁界コイル24および25によって供給される。
プラズマは磁気パケット室40の一部からなる処理空間まで磁界によって輸送さ れる。
リンベーチャーおよびマツケンジーの論文(R,リンペーチャー、K、R,マツ ケンジー、科学機器誌、44.726 (L973))において、周辺多尖端構 造となるように配備された磁石を用いることによって、磁石で囲まれた空間の中 央領域に非常に均一なプラズマパラメータをもたらすことが可能であることが開 示されている。
円筒の円周部分周辺に磁石を配置することは、イオン源として用いるための配置 として普通に用いられており、磁気パケットと呼ばれている。磁界の設計におい て、下側の磁界コイル25によって供給される軸方向の磁界と磁気パケット40 との中の磁界とが良好な整合を示すようにすることが重要である。発生器10″ からのプラズマは磁力線にそって拡散し、パケット40中に拡がっていく。磁気 パケット40の壁41はステンレス鋼を用いて、例えば円状断面あるいは長方形 の断面形状をもつように作られる。いずれの場合でも、磁気パケット40の内部 の大きさはプラズマ発生器10゛の内径よりも大きくなるようになされる。パケ ット内の磁界は、その極がパケット室の壁41に対して直交するように、またN 極とS極とが交互になるように配置された多数の永久磁石43によって供給され る。
このような配置とすることによって、磁力線44は、多大端パターンを形成し、 磁石によって形成される磁界のパターンは図3Aに示したようになる。再び図3 を参照する。コートをすべき、あるいはエツチングをすべき基板45は、基板ホ ルダー46上の磁気パケットのプラズマ場の下部領域となる部分にマウントされ る。基板ホルダーは銅ブロックからなっており、加熱/冷却循環系によって冷却 あるいは加熱される。図2のシステムにおけるのと同様に、ガス供給源に結合さ れたステンレス鋼チューブ11′を介してガスがプラズマ発生チャンバー10° に対して注入される。また、ガス供給路に接続されたステンレス鋼リング36が 具備されており、このステンレス鋼リング36の周囲には小さな直径の穴37が 分布しており、これらを介して磁気パケット40中にガスが注入される。図1に 示したような2つの真空キャパシタからなる整合回路18を介して、RF電圧が 電源19からアンテナ12°に印加されると、プラズマが基板45に向かりて均 一に流れる。
図4は、例えば大面積平面表示装置のコーティングなどのように、大面積の長方 形形状の全体にわたって高度な均一性が要求される場合に対する装置構成を示し たものである。プラズマ発生ユニットは上記と同じ種類のものであるが、ただし 、この装置構成においては、必要とされるプラズマの形状と大きさに応じて複数 のプラズマ発生器を用いることができる。図4は、処理室の上部に、その中心軸 にそって3つのプラズマ発生器49.50.51を配置した場合について示した ものである。各プラズマ発生チャンバーは円筒形状をしており、石英やパイレッ クスなどの非導電体材料で作られており、それぞれが、図1において説明した型 の別々の単一ループアンテナ52.53.54を有している。ただし、確実に均 一なプラズマを発生することができるようにアンテナ52.53.54を整合ボ ックス18およびRF電源と電気的に直列に接続し、これによって等しいRF電 流が流れるようにしである。各プラズマ発生器の軸方向の磁界は磁界コイル55 .56.57.58.59.60によって供給される。このようにして、プラズ マは独立に発生されて磁界によって共通の長方形磁気パケット室61に輸送され る。プラズマは磁力線にそって拡散し、パケット61の中に拡がり、パケット6 1をプラズマで満たす。
パケット室61の中の磁界は、磁極がパケット室の表面と直交するように、また N極とS極とが交互になるように配置された永久磁石62によって供給される。
磁石によって供給される磁界パターンは図4Aに示したようになる。コートすべ き、あるいはエツチングすべき基板63は、電気的に絶縁された基板ホルダー6 4の上にマウントされる。プラズマが基板を衝撃することによって、基板はOか ら10■の間の負の電圧に自己バイアスされる。形成すべきフィルムの種類によ っては、あるいは、エツチングの応用によっては、基板63を高エネルギーイオ ンで衝撃することが好適である。この場合には、RF電力を第2のRF電源(図 示せず)から整合回路を介して基板ホルダー64に印加することが望ましい。な お、この場合の基板ホルダー64も銅ブロックで構成し、加熱/冷却循環系65 によって冷却あるいは加熱するようにすることもできる。
図5は、本発明による装置の他の例であり、大面積にわたってプラズマを均一に 制御する機構を付加させたものである。プラズマ発生チャンバー10’ は円筒 形状をしており、石英やパイレックスなどの非導電体材料で作られており、その まわりをアンテナ12′が90” より小さい角度で取りまいている。プラズマ 源領域の軸方向磁界は磁界コイル69.70によって、あるいはこのようなコイ ル1つによって供給される。プラズマは磁界によってプラズマ源67から磁気パ ケット室71まで輸送される。なお、磁気パケット室71は、図3において説明 したものと実際上同一の設計がなされている。均一性を向上するために、また、 容易にプラズマをバケット室71まで移送できるようにするために、プラズマ発 生チャンバー10゛の中心軸と中心を同じくする磁界コイル72を基板ホルダー 74の下にさらに配置している。なお、基板ホルダー74は、加熱/冷却循環系 75によって冷却または加熱される。永久磁石76がパケット室71の周囲に図 2において先に説明したのと同様にして配置されており、図5Aに示されている ような尖端磁界77を発生するようになされている。付加磁界コイル72には、 コイル69.70を流れる電流とは向きが反対の電流が流される。これによって 、磁気尖端(この場合はリング尖端であるが)がほぼ基板78の平面内の位置に さらに付加され、この平面は、N極−8極永久磁石76によって生成される線状 尖端と事実上直交する。
上記の場合と同じように、ガスが注入ポート11からプラズマ発生器10’ に 注入され、また他の供給チューブ11°からステンレス鋼リング36を介してパ ケット室71にも注入される。リング36は穴37を具備しており、この穴37 の大きさよりもリング36の直径の方が大きくなっている。穴37からは基板7 8に向けてガスが均一に流される。主ガス供給が用いられない場合には、このリ ング36から、プラズマ源に対してもガスを供給するようにすることもできる。
変調ドライバ73によって、コイル72を流れる電流を時間とともに多少変動さ せることによって、リング尖端平面を、基板78の平面を中心にして上下方向に 変動させるようにし、これによって、基板に入射するプラズマの基板をよぎる方 向のプラズマプロファイルが時間的に平均化され、均一性がさらに高められる。
下部コイルの時間変化を用いたこの方法は、図2に示した構成の場合のように磁 気パケットが採用されていない場合においても、均一性を高めるために採用する ことができるが、この場合、出口領域のコイル28に、プラズマ発生チャンバー 10°のコイル24.25とは反対向きの電流を流し、これによって、リング尖 端を基板平面内に生成するようにする。
図6は、本発明による他の例を示したものであり、RF発生源をスパッタターゲ ットと組み合わせて用いるのに適した事例である。プラズマ発生チャンバー10 ”は、円筒形状をしており、非導電体材料で作られている。また、プラズマ発生 チャンバー10”のまわりには、その縦軸に直交する平面内に図1の例と同様に アンテナ12゜が取り付けられている。軸方向の磁界はプラズマ発生チャンバー 10″のまわりの磁界コイル80.81、および処理室91のまわりの磁界コイ ル82.83とによって供給される。プラズマ90は、プラズマ発生器10”か ら処理室91に輸送され、さらに磁界にそって、最も下部のスパッタターゲット 93まで流れる。なお、スパッタターゲット93は、電源94によって大きな負 電圧(およそ−700V)にバイアスされている。基板ホルダーが導電体である 場合には、DC電源を用いて、電荷の維持が行われるが、もし基板ホルダーが非 導電体の場合には、代わりに交流電源が用いられる。プラズマの直径は、磁界を 制御することによって、ターゲットの直径に順応させられる。ターゲット93か らスパッタされた材料は、ターゲットの周囲に並置された基板96の上にデポジ ットされる。ターゲット93の上部の平面内に配置された水平バッフル97を用 いて基板近傍のガス圧力を制御することができる。またこの水平バッフル97を 用いることによって、他のガスを流入口98を介して基板近傍に導びくようにす ることもできる。基板96は基板ホルダーにスパッタターゲットよりも上に位置 するようにマウントされる。スパッタされた材料を均一にデポジションできるよ うに、基板ホルダー101は垂直軸のまわりに回転することが可能となっている 。基板ホルダー101は中央部に開口が設けられており、この開口を通ってプラ ズマがプラズマ発生器10”から磁界にそってスパッタターゲット93まで達す ることができるようになっている。
図7は、図1に示したアンテナを用いた図3に示した本発明による装置のプラズ マ電流密度についてmA/cm2の単位で測定されたデータを示したものであり 、測定は高速注入ラングミューアブローブを用いて基板のすぐ上の平面内位置に おいて行ったものである。このときのRF周波数は13.56MHzであり、市 販のRF電源を用いて2.OkWのRF主電力図1に示したような整合ボックス を介して供給した。このデータは、0から1000ガウスの間のプラズマ発生チ ャンバー10゛内の磁界に対して、プラズマ電流密度をこの磁界の関数としてめ たものである。0から160ガウスまでの磁界に対するデータについては別途図 8に示した。なお、ガス圧力は約1mTorrである。20ガウスの低い磁界に おいて、電流密度は、はぼ40mA/cm2に達する。
さらに磁界を100ガウスあるいはそれ以上に増加させると、140mA/am ’のレベルに達する高いプラズマ密度が得られる。これらのデータから、低い磁 界において共鳴が存在し、従来知られていなかった、驚くべきほど高いプラズマ 電流密度が得られることがわかる。磁界強度を単調に増加していくと、中間領域 以降において共鳴が再び起こり、ここでは、電流密度が減少する。
図9は、ガス圧力が2mTo r r、プラズマ発生チャンバー内の磁界が25 0ガウスであるときに、RF主電力関数として、基板平面に達する全プラズマ流 束をアンペアの単位で測定したときのデータを示したものである。
全プラズマ流束としては、直径8インチ(20cm)内に達したものをめた。約 4インチ(10cm)のプラズマ発生チャンバーから基板上に入射する全プラズ マ流束は15アンペアもの高い値に達している。
図10は図1に示したようなアンテナを備えた図3のような構成のプラズマ源の 動作をプラズマ発生チャンバー内のガスの圧力の関数として表したものであり、 このプラズマ発生源が(1mTo r r以下の)低い圧力から高い圧力まで、 効率よく動作することがわがる。なお、このプラズマ源は、100mTorr以 上の高い圧力でも完全な動作が可能である。
図11はプラズマの均一性について示したものである。
この測定は、プラズマ発生チャンバー10゛の出口から6インチ下の位置のパケ ット室40の内部に基板45を配置し、図1に示したようなアンテナを備えた図 3のような装置を用いて行った。プラズマ発生チャンバー10’内の磁界は15 0ガウス、圧力は約2mTo r rである。
プラズマは、8インチ(20cm)を越える幅にわたって非常に均一となってい る。これと同程度の均一性が、図5に示した尖端磁気装置を用いて、磁界コイル 69.70に流れる電流と反対向きの電流を磁気コイル72に流した場合におい ても達成されている。
以上に説明したように、本発明のシステムおよび装置を用いれば、プラズマ発生 チャンバーに具備させた単一ループアンテナを用いて、低い周波数のホイッスラ ー波励起を起こさせることによって、均一なプラズマを得ることが可能である。
適当な磁界条件下における、プラズマ負荷、および選択されたRF電力との相互 作用によってプラズマが処理室まで伸張し、所望の形状の大面積にわって高プラ ズマ電流密度と大きな全プラズマ流束とを供給することが可能である。低磁界強 度および大磁界強度の2つのモードのどちらも利用可能であり、また、エツチン グ、デポジション、およびスパッタのいずれの処理に対しても採用することが可 能である。
以上に、本発明を、いろいろな形態や変形について説明したが、本発明はこれら のみに限定されることなく、添付の請求の範囲に属するすべての変形を含むもの である。
nG、、 1 FIG、 2 FIG、 4 ’FIG、 4^ FIG、 6 ゛ 磁界[G1 口G、 7 磁界[G] FIG、 8 □電力[KW] FIG、 9 圧 ソJ [mTORR] FIG、 10 位置[Cm] FIG、11 フロントページの続き (51) Int、 C1,5識別記号 庁内整理番号HOIL 21/205  7352−4M21/302 B 9277−4M H05H1/46 9014−2G (72)発明者 コン、ロバート・ダブリュアメリカ合衆国 90025 カリ フォルニア、ロサンゼルス、バーネル・アヴエニュ 1818、ナンバー 1 (72)発明者 ピアソン、デビット・シーアメリカ合衆国 90068 カリ フォルニア、ロサンゼルス、バーハム・プールヴアード3674、ナンバー エ ル115 I (72)発明者 デッカムプライアー、アレクシス・ピーアメリカ合衆国 91 501 カリフォルニアバーバンク、ノースパケネスーロード 421、ナンバー 205 (72)発明者 ショー9 タツオ ナゴヤ、ミズホク、ヨウメイチョウ 1−5、ヨウメイリョウ

Claims (35)

    【特許請求の範囲】
  1. 1.高密度プラズマを発生するためにシステムにおいて、該システムが、 円筒形状をしたプラズマ閉じ込めチャンバーを具備し、さらにまた イオン化すべきガスを上記チャンバーに注入するための手段を具備し、さらにま た 上記円筒形状チャンバーを取り囲む単一ループエレメントを備えたアンテナ手段 を具備し、上記ループエレメントが、上記チャンバーの長さ方向にそっての中間 的な領域に位置する上記チャンバーの中心軸に対して45度を越える角度の平面 内に配置されており、さらにまた上記チャンバーおよび上記アンテナ手段に隣接 して配置された、軸方向の磁界を上記チャンバー内に発生するための手段を具備 し、さらにまた 上記アンテナ手段に結合された、上記ループアンテナエレメントを高周波エネル ギーで励起するための手段を具備している、 ことを特徴とするシステム。
  2. 2.上記磁界が1000ガウス以下であり、上記プラズマ密度が1013/cm 3を越える値であり、上記ループエレメントが磁界に対して約90度の角度をな していることを特徴とする、請求範囲第1項に記載のシステム。
  3. 3.上記システムが第1のプラズマ電流・密度ピークを50ガウス近傍に有して おり、また第2のプラズマ電流・密度ピークを400ガウス近傍に有しているこ とを特徴とする、請求範囲第2項に記載のシステム。
  4. 4.上記高周波エネルギが13.56MHz帯であり、また上記の励起のための 手段がインピーダンス整合手段をさらに備えていることを特徴とする、請求範囲 第3項に記載のシステム。
  5. 5.上記ループエレメントから与えられる波の電界のモード構成がm=0モード であって2π/kzのピッチを有しており、ωを励起周波数、ωcを電子サイク ロトロン角周波数、ωpをプラズマ周波数、kzをプラズマの軸方向の波数、a をプラズマ半径とするときkzが分散関係式[ω/ωc−ωp2/C2kz2] 2=1+(3.83/kza)2と位相速度ω/kzとによって定められること を特徴とする、請求範囲第1項に記載のシステム。
  6. 6.Ωcをイオンサイクロトロン周波数とするとき、Ωc<ω<ωcの関係を有 する低い周波数のホイッスラー波によってプラズマが励起されることを特徴とす る、請求範囲第5項に記載のシステム。
  7. 7.上記のプラズマ密度が1013を越え、また、上記チャンバーが非磁性体絶 縁性チャンバーであることを特徴とする、請求範囲第6項に記載のシステム。
  8. 8.上記円筒形状チャンバーが軸方向に出口開口を有しており、上記システムが 、さらに、上記円筒形状チャンバーに隣接して配置され上記出口開口を介して上 記円筒形状チャンバーと連絡されている処理室と、上記処理室内にて処理すべき 要素を支持するための手段とを有しており、上記処理室が上記円筒形状チャンバ ーよりも大きな断面積を有していることを特徴とする、請求範囲第1項に記載の システム。
  9. 9.上記処理室がプラズマをその中に維持するための手段を有していることを特 徴とする、請求範囲第8項に記載のシステム。
  10. 10.上記のプラズマを維持するための手段が、上記処理室のまわりの磁気手段 からなっており、これによって磁気バケット室を定めるようになされていること を特徴とする、請求範囲第9項に記載のシステム。
  11. 11.上記の磁気バケット室の平面構造が長方形であり、また上記システムが、 それぞれ関連する単一ループアンテナエレメントをその周囲に備え、磁気バケッ ト室にそって配置された、少なくとも2つのプラズマ閉じ込めチャンバーを有し ていることを特徴とする、請求範囲第10項に記載のシステム。
  12. 12.上記システムが、それぞれ関連する単一ループアンテナをその周囲に備え た少なくとも2つのプラズマ閉じ込めチャンバーと、上記アンテナを直列に結合 して高周波エネルギで上記アンテナを励起するための回路手段とを有しているこ とを特徴とする、請求範囲第8項に記載のシステム。
  13. 13.上記システムが、処理すべき基板を上記処理室内のプラズマの経路内に維 持するための手段をさらに有していることを特徴とする、請求範囲第8項に記載 のシステム。
  14. 14.上記システムが、尖端磁界を基板領域内に維持するための手段をさらに有 しており、上記尖端磁界が上記チャンバー内の軸方向磁界と対向するようになさ れていることを特徴とする、請求範囲第13項に記載のシステム。
  15. 15.上記の尖端磁界を維持するための手段が、尖端磁界強度を変化させて、基 板におけるプラズマ流束密度を時間的に平均化させる手段を有していることを特 徴とする、請求範囲第14項に記載のシステム。
  16. 16.上記システムが、上記処理室内のプラズマ経路中に配置されたスパッタタ ーゲットおよびスパッタターゲットホルダーと、上記円筒形状チャンバーと上記 スパッタターゲットとの間のプラズマ経路周辺の上記スパッタターゲットからス パッタされた材料の経路内に配置された基板手段とを有していることを特徴とす る、請求範囲第8項に記載のシステム。
  17. 17.基板を処理するためのプラズマ処理装置において、該装置が、 (a)プラズマ発生チャンバーの外部にこれを取りまくように近接して配置され た単一電流ループからなる、周波数が典型的にはRFの範囲内である電磁波を放 射するアンテナを有し、さらにまた、 (b)円筒状プラズマチャンバーを有し、該円筒状プラズマチャンバーが上記ア ンテナに近接して配置され上記アンテナと電磁気的な結合を有するようになされ ており、該円筒状プラズマ発生チャンバーの縦軸と上記電流ループとが互いに直 交するか、あるいは90度より小さな角度をなすようになされており、さらにま た、(c)第1の流体インジェクタを有し、該第1の流体インジェクタによって 流体が上記プラズマ発生チャンバー中に導入され、これによって、プラズマを上 記プラズマ発生チャンバー内に発生させることを可能とするようになされており 、さらにまた、 (d)磁界発生装置を有し、該磁界発生装置によって磁界が発生されるようにな されており、さらにまた、(e)処理室を有し、上記磁界によって該処理室にプ ラズマが輸送されるようになされており、さらにまた、(f)第2の流体インジ ェクタを有し、該第2の流体インジェクタによって流体が上記処理室中に導入さ れるようになされており、さらにまた、 (g)電流コントローラを有し、該電流コントローラによって、磁界発生装置内 の電流を制御し、これによってプラズマの形状を制御するようになされており、 さらにまた、 (h)基板ホルダーを有し、該基板ホルダーが上記処理室内に存在するようにな されており、さらにまた(i)基板を有し、該基板が上記基板ホルダー上にマウ ントされており、これによって、該基板がプラズマにさらされるようになされて いる、 ことを特徴とする装置。
  18. 18.さらに、 (j)上記基板ホルダー上に配置された基板に対して高周波電力を供給するため の補助高周波発生器を有し、さらにまた、 (k)高周波励起装置を有し、該高周波励起装置によって高周波電圧および電流 が発生されるようになされており、さらにまた、 (l)整合回路を有し、該整合回路によって上記高周波励起装置と上記アンテナ とが相互接続され、これによって、上記高周波励起装置から上記アンテナヘの高 周波エネルギの効率のよい転送が促進されるようになされている、 ことを特徴とする請求範囲第17項に記載の装置。
  19. 19.発生された磁界が事実上上記プラズマ発生チャンバーの縦軸と平行となっ ていることを特徴とする請求範囲第17項に記載のプラズマ処理装置。
  20. 20.上記基板ホルダーが、実質的に電気的に絶縁されている状態で上記処理室 内に支持されていることを特徴とする請求範囲第19項に記載のプラズマ処理装 置。
  21. 21.上記基板ホルダーが (a)銅ブロックと、 (b)上記の銅ブロックの温度を調節することを可能とする熱制御手段、 とから構成されていることを特徴とする請求範囲第20項に記載のプラズマ処理 装置。
  22. 22.第2の流体インジェクタが多孔性エレメントとして形成されており、これ によって、ガスを該エレメント内に導いて該エレメントから上記処理室にガスを 逃がすようになされていることを特徴とする請求範囲第21項に記載のプラズマ 処理装置。
  23. 23.上記の多孔性エレメントがリングとして形成されており、該リングがチュ ーブとして構成されており、該チューブにはガスが上記リングから上記処理室に 逃げることができるように穴が開けられていることを特徴とする請求範囲第22 項に記載のプラズマ処理装置。
  24. 24.上記プラズマ発生チャンバーが石英円筒であることを特徴とする請求範囲 第23項に記載のプラズマ処理装置。
  25. 25.複数の磁石をさらに有し、該磁石が上記処理室に近接して円周上に配置さ れており、隣接する磁石のN極とS極とが互いに反対の向きを有するようになさ れていることを特徴とする請求範囲第17項に記載のプラズマ処理装置。
  26. 26.上記の処理室が上記プラズマ発生チャンバーの断面積よりも大きな断面積 を有することを特徴とする請求範囲第25項に記載のプラズマ処理装置。
  27. 27.上記の処理室が実質的にステンレス鋼によって形成されていることを特徴 とする請求範囲第26項に記載のプラズマ処理装置。
  28. 28.上記の処理室の断面が正則幾何学形状をしていることを特徴とする請求範 囲第27項に記載のプラズマ処理装置。
  29. 29.さらに磁界発生装置が付加されており、該磁界発生装置が上記基板ホルダ ーの背後の上記基板のわきに、上記プラズマ発生チャンバーと対向するように、 他の磁界発生装置と実質的に平行な平面内に配置され、他の磁界発生装置が発生 する磁界と逆向きの磁界を発生するようになされていることを特徴とする請求範 囲第25項に記載のプラズマ処理装置。
  30. 30.上記基板ホルダーの背後に配置された上記磁界発生装置が、時間的に変化 する磁界を発生するようになされていることを特徴とする請求範囲第29項に記 載のプラズマ処理装置。
  31. 31.さらに磁界発生装置が付加されており、該磁界発生装置が上記基板ホルダ ーの背後の上記基板のわきに、上記プラズマ発生チャンバーと対向するように、 他の磁界発生装置と実質的に平行な平面内に配置され、他の磁界発生装置が発生 する磁界と逆向きの磁界を発生するようになされていることを特徴とする請求範 囲第17項に記載のプラズマ処理装置。
  32. 32.上記基板ホルダーの背後に配置された上記磁界発生装置が、時間的に変化 する磁界を発生するようになされていることを特徴とする請求範囲第31項に記 載のプラズマ処理装置。
  33. 33.(a)少なくとも1つのターゲットを有し、さらにまた (b)バイアス手段を有し、該バイアス手段によって上記ターゲットに電圧が供 給され、これによって、プラズマイオンが上記ターゲットを衝撃することができ るようになされ、また上記ターゲットからの材料が基板上にデポジットされるよ うになされている、 ことを特徴とする、スパッタデポジションのための、請求範囲第17項に記載の プラズマ処理装置。
  34. 34.さらに、 (a)複数のプラズマ発生チャンバーを有し、該プラズマ発生チャンバーのそれ ぞれが協同的に上記処理室に接続されており、さらにまた (b)複数のアンテナを有し、各アンテナが電磁気的に上記の各プラズマ発生チ ャンバーと結合されており、さらにまた (c)複数の磁界発生装置を有し、各磁界発生装置が磁気的に上記の各プラズマ 発生チャンバーと結合されている、 ことを特徴とする、請求範囲第17項に記載のプラズマ処理装置。
  35. 35.さらに、 (a)複数のプラズマ発生チャンバーを有し、該プラズマ発生チャンバーのそれ ぞれが協同的に上記処理室に接続されており、各プラズマは上記プラズマ発生チ ャンバーの縦軸に対して同軸に発生されるようになされ、さらにまた (b)複数のアンテナを有し、各アンテナが電磁気的にプラズマ発生チャンバー と結合されており、さらにまた(c)複数の磁界コイルを有し、該磁界コイルが 上記処理室に近接して配置されており、さらにまた(d)複数の磁界発生装置を 有し、各磁界発生装置が磁気的に上記の各プラズマ発生チャンバーと結合されて おり、さらにまた (e)上記処理室内にマウントされた複数の基板ホルダーを有する、 ことを特徴とする、請求範囲第17項に記載のプラズマ処理装置。
JP50577692A 1991-02-04 1992-02-04 高密度プラズマデポジションおよびエッチング装置 Expired - Lifetime JP3271765B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US07/650,788 US5122251A (en) 1989-06-13 1991-02-04 High density plasma deposition and etching apparatus
US650,788 1991-02-04
PCT/US1992/000976 WO1992014258A1 (en) 1991-02-04 1992-02-04 High density plasma deposition and etching apparatus

Publications (2)

Publication Number Publication Date
JPH06506084A true JPH06506084A (ja) 1994-07-07
JP3271765B2 JP3271765B2 (ja) 2002-04-08

Family

ID=24610292

Family Applications (1)

Application Number Title Priority Date Filing Date
JP50577692A Expired - Lifetime JP3271765B2 (ja) 1991-02-04 1992-02-04 高密度プラズマデポジションおよびエッチング装置

Country Status (9)

Country Link
US (1) US5122251A (ja)
EP (1) EP0570484B1 (ja)
JP (1) JP3271765B2 (ja)
KR (1) KR100231221B1 (ja)
AT (1) ATE151569T1 (ja)
AU (1) AU1352192A (ja)
DE (1) DE69218924T2 (ja)
ES (1) ES2102497T3 (ja)
WO (1) WO1992014258A1 (ja)

Families Citing this family (192)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5421891A (en) * 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5429070A (en) * 1989-06-13 1995-07-04 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US6068784A (en) * 1989-10-03 2000-05-30 Applied Materials, Inc. Process used in an RF coupled plasma reactor
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
JP2581255B2 (ja) * 1990-04-02 1997-02-12 富士電機株式会社 プラズマ処理方法
US6444137B1 (en) 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
US6251792B1 (en) 1990-07-31 2001-06-26 Applied Materials, Inc. Plasma etch processes
US20020004309A1 (en) * 1990-07-31 2002-01-10 Kenneth S. Collins Processes used in an inductively coupled plasma reactor
JP3056772B2 (ja) * 1990-08-20 2000-06-26 株式会社日立製作所 プラズマの制御方法ならびにプラズマ処理方法およびその装置
US5208512A (en) * 1990-10-16 1993-05-04 International Business Machines Corporation Scanned electron cyclotron resonance plasma source
US6036877A (en) 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
US6063233A (en) 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5888414A (en) * 1991-06-27 1999-03-30 Applied Materials, Inc. Plasma reactor and processes using RF inductive coupling and scavenger temperature control
US6518195B1 (en) 1991-06-27 2003-02-11 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6077384A (en) 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US5226967A (en) * 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5397962A (en) * 1992-06-29 1995-03-14 Texas Instruments Incorporated Source and method for generating high-density plasma with inductive power coupling
US5339039A (en) * 1992-09-29 1994-08-16 Arizona Board Of Regents On Behalf Of The University Of Arizona Langmuir probe system for radio frequency excited plasma processing system
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
US6225744B1 (en) 1992-11-04 2001-05-01 Novellus Systems, Inc. Plasma process apparatus for integrated circuit fabrication having dome-shaped induction coil
US5487785A (en) * 1993-03-26 1996-01-30 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5354381A (en) * 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
US5572038A (en) * 1993-05-07 1996-11-05 Varian Associates, Inc. Charge monitor for high potential pulse current dose measurement apparatus and method
US5824158A (en) * 1993-06-30 1998-10-20 Kabushiki Kaisha Kobe Seiko Sho Chemical vapor deposition using inductively coupled plasma and system therefor
US5531834A (en) * 1993-07-13 1996-07-02 Tokyo Electron Kabushiki Kaisha Plasma film forming method and apparatus and plasma processing apparatus
US5430355A (en) * 1993-07-30 1995-07-04 Texas Instruments Incorporated RF induction plasma source for plasma processing
FR2709397B1 (fr) * 1993-08-27 1995-09-22 Cit Alcatel Réacteur à plasma pour un procédé de dépôt ou de gravure.
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
DE4403125A1 (de) * 1994-02-02 1995-08-03 Fraunhofer Ges Forschung Vorrichtung zur Plasmaerzeugung
TW288253B (ja) * 1994-02-03 1996-10-11 Aneruba Kk
US5783100A (en) * 1994-03-16 1998-07-21 Micron Display Technology, Inc. Method of high density plasma etching for semiconductor manufacture
US5435881A (en) * 1994-03-17 1995-07-25 Ogle; John S. Apparatus for producing planar plasma using varying magnetic poles
TW254030B (en) * 1994-03-18 1995-08-11 Anelva Corp Mechanic escape mechanism for substrate
US5620523A (en) * 1994-04-11 1997-04-15 Canon Sales Co., Inc. Apparatus for forming film
ATE251798T1 (de) 1994-04-28 2003-10-15 Applied Materials Inc Verfahren zum betreiben eines cvd-reaktors hoher plasma-dichte mit kombinierter induktiver und kapazitiver einkopplung
US5540800A (en) * 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
JP3419899B2 (ja) * 1994-07-26 2003-06-23 東京エレクトロン株式会社 スパッタリング方法及びスパッタリング装置
US5540824A (en) * 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5777289A (en) 1995-02-15 1998-07-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5783101A (en) * 1994-09-16 1998-07-21 Applied Materials, Inc. High etch rate residue free metal etch process with low frequency high power inductive coupled plasma
DE69510427T2 (de) 1994-10-31 1999-12-30 Applied Materials Inc Plasmareaktoren zur Halbleiterscheibenbehandlung
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
JP3483327B2 (ja) 1994-11-29 2004-01-06 アネルバ株式会社 プラズマ処理方法
US5468955A (en) * 1994-12-20 1995-11-21 International Business Machines Corporation Neutral beam apparatus for in-situ production of reactants and kinetic energy transfer
JP3426382B2 (ja) * 1995-01-24 2003-07-14 アネルバ株式会社 プラズマ処理装置
US6270617B1 (en) 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5688357A (en) * 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5688358A (en) * 1995-03-08 1997-11-18 Applied Materials, Inc. R.F. plasma reactor with larger-than-wafer pedestal conductor
JP3329128B2 (ja) * 1995-03-28 2002-09-30 ソニー株式会社 半導体装置の製造方法
US5674321A (en) * 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
JP3585578B2 (ja) * 1995-05-30 2004-11-04 アネルバ株式会社 プラズマ処理装置
EP0871795B1 (en) * 1995-06-29 2008-12-31 Lam Research Corporation A scalable helicon wave plasma processing device with a non-cylindrical source chamber
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5653811A (en) 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US6264812B1 (en) 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
US5810932A (en) * 1995-11-22 1998-09-22 Nec Corporation Plasma generating apparatus used for fabrication of semiconductor device
US5767628A (en) * 1995-12-20 1998-06-16 International Business Machines Corporation Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel
US5686796A (en) * 1995-12-20 1997-11-11 International Business Machines Corporation Ion implantation helicon plasma source with magnetic dipoles
TW303480B (en) 1996-01-24 1997-04-21 Applied Materials Inc Magnetically confined plasma reactor for processing a semiconductor wafer
US6471822B1 (en) 1996-01-24 2002-10-29 Applied Materials, Inc. Magnetically enhanced inductively coupled plasma reactor with magnetically confined plasma
GB9602948D0 (en) * 1996-02-13 1996-04-10 Boc Group Plc Thin film deposition
DE19606375A1 (de) * 1996-02-21 1997-08-28 Balzers Prozes Systeme Gmbh Plasmaquelle mit eingekoppelten Whistler- oder Helikonwellen
US6254746B1 (en) 1996-05-09 2001-07-03 Applied Materials, Inc. Recessed coil for generating a plasma
US6368469B1 (en) 1996-05-09 2002-04-09 Applied Materials, Inc. Coils for generating a plasma and for sputtering
KR100489918B1 (ko) * 1996-05-09 2005-08-04 어플라이드 머티어리얼스, 인코포레이티드 플라즈마발생및스퍼터링용코일
DE19621855C2 (de) * 1996-05-31 2003-03-27 Univ Dresden Tech Verfahren zur Herstellung von Metallisierungen auf Halbleiterkörpern unter Verwendung eines gepulsten Vakuumbogenverdampfers
US6087778A (en) * 1996-06-28 2000-07-11 Lam Research Corporation Scalable helicon wave plasma processing device with a non-cylindrical source chamber having a serpentine antenna
KR19990071626A (ko) * 1996-09-24 1999-09-27 매튜스 죤 씨. 사파이어플라즈마애셔에서기판으로부터잔류물을제거하는방법및그장치
EP0836219A3 (en) * 1996-10-08 1998-09-16 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US6190513B1 (en) 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
US6254737B1 (en) 1996-10-08 2001-07-03 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US6514390B1 (en) 1996-10-17 2003-02-04 Applied Materials, Inc. Method to eliminate coil sputtering in an ICP source
US5824602A (en) * 1996-10-21 1998-10-20 The United States Of America As Represented By The United States Department Of Energy Helicon wave excitation to produce energetic electrons for manufacturing semiconductors
US5961793A (en) * 1996-10-31 1999-10-05 Applied Materials, Inc. Method of reducing generation of particulate matter in a sputtering chamber
TW358964B (en) * 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6599399B2 (en) 1997-03-07 2003-07-29 Applied Materials, Inc. Sputtering method to generate ionized metal plasma using electron beams and magnetic field
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6103070A (en) * 1997-05-14 2000-08-15 Applied Materials, Inc. Powered shield source for high density plasma
US6077402A (en) * 1997-05-16 2000-06-20 Applied Materials, Inc. Central coil design for ionized metal plasma deposition
US6361661B2 (en) 1997-05-16 2002-03-26 Applies Materials, Inc. Hybrid coil design for ionized deposition
US6652717B1 (en) 1997-05-16 2003-11-25 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6579426B1 (en) 1997-05-16 2003-06-17 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US6373022B2 (en) 1997-06-30 2002-04-16 Applied Materials, Inc. Plasma reactor with antenna of coil conductors of concentric helices offset along the axis of symmetry
US6235169B1 (en) 1997-08-07 2001-05-22 Applied Materials, Inc. Modulated power for ionized metal plasma deposition
US6345588B1 (en) 1997-08-07 2002-02-12 Applied Materials, Inc. Use of variable RF generator to control coil voltage distribution
US6375810B2 (en) 1997-08-07 2002-04-23 Applied Materials, Inc. Plasma vapor deposition with coil sputtering
TW396384B (en) * 1997-08-07 2000-07-01 Applied Materials Inc Modulated power for ionized metal plasma deposition
DE19739894C2 (de) * 1997-09-11 2001-07-05 Muegge Electronic Gmbh Plasmabehandlungsvorrichtung
US6565717B1 (en) 1997-09-15 2003-05-20 Applied Materials, Inc. Apparatus for sputtering ionized material in a medium to high density plasma
US6042700A (en) * 1997-09-15 2000-03-28 Applied Materials, Inc. Adjustment of deposition uniformity in an inductively coupled plasma source
US6023038A (en) * 1997-09-16 2000-02-08 Applied Materials, Inc. Resistive heating of powered coil to reduce transient heating/start up effects multiple loadlock system
US5903106A (en) * 1997-11-17 1999-05-11 Wj Semiconductor Equipment Group, Inc. Plasma generating apparatus having an electrostatic shield
US6271529B1 (en) 1997-12-01 2001-08-07 Ebara Corporation Ion implantation with charge neutralization
US6280579B1 (en) 1997-12-19 2001-08-28 Applied Materials, Inc. Target misalignment detector
US6280563B1 (en) * 1997-12-31 2001-08-28 Lam Research Corporation Plasma device including a powered non-magnetic metal member between a plasma AC excitation source and the plasma
US6352049B1 (en) 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
US6074514A (en) * 1998-02-09 2000-06-13 Applied Materials, Inc. High selectivity etch using an external plasma discharge
EP1055249A1 (en) * 1998-02-09 2000-11-29 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
US6635578B1 (en) 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
US6274459B1 (en) 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
US6189484B1 (en) * 1999-03-05 2001-02-20 Applied Materials Inc. Plasma reactor having a helicon wave high density plasma source
US6254738B1 (en) 1998-03-31 2001-07-03 Applied Materials, Inc. Use of variable impedance having rotating core to control coil sputter distribution
US6146508A (en) * 1998-04-22 2000-11-14 Applied Materials, Inc. Sputtering method and apparatus with small diameter RF coil
US6204607B1 (en) 1998-05-28 2001-03-20 Applied Komatsu Technology, Inc. Plasma source with multiple magnetic flux sources each having a ferromagnetic core
US6611249B1 (en) 1998-07-22 2003-08-26 Silicon Graphics, Inc. System and method for providing a wide aspect ratio flat panel display monitor independent white-balance adjustment and gamma correction capabilities
US6660134B1 (en) 1998-07-10 2003-12-09 Applied Materials, Inc. Feedthrough overlap coil
TW434636B (en) 1998-07-13 2001-05-16 Applied Komatsu Technology Inc RF matching network with distributed outputs
US6132566A (en) * 1998-07-30 2000-10-17 Applied Materials, Inc. Apparatus and method for sputtering ionized material in a plasma
US6231725B1 (en) 1998-08-04 2001-05-15 Applied Materials, Inc. Apparatus for sputtering material onto a workpiece with the aid of a plasma
US6132575A (en) * 1998-09-28 2000-10-17 Alcatel Magnetron reactor for providing a high density, inductively coupled plasma source for sputtering metal and dielectric films
US6238528B1 (en) 1998-10-13 2001-05-29 Applied Materials, Inc. Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
US6213050B1 (en) 1998-12-01 2001-04-10 Silicon Genesis Corporation Enhanced plasma mode and computer system for plasma immersion ion implantation
WO2000043568A1 (fr) * 1999-01-22 2000-07-27 Toyo Kohan Co., Ltd. Appareil de depot chimique en phase vapeur a plasma par micro-ondes
US6217718B1 (en) 1999-02-17 2001-04-17 Applied Materials, Inc. Method and apparatus for reducing plasma nonuniformity across the surface of a substrate in apparatus for producing an ionized metal plasma
US6474258B2 (en) 1999-03-26 2002-11-05 Tokyo Electron Limited Apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
US6237526B1 (en) * 1999-03-26 2001-05-29 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
JP3160263B2 (ja) * 1999-05-14 2001-04-25 キヤノン販売株式会社 プラズマドーピング装置及びプラズマドーピング方法
US6458723B1 (en) 1999-06-24 2002-10-01 Silicon Genesis Corporation High temperature implant apparatus
US6409890B1 (en) 1999-07-27 2002-06-25 Applied Materials, Inc. Method and apparatus for forming a uniform layer on a workpiece during sputtering
US6168696B1 (en) 1999-09-01 2001-01-02 Micron Technology, Inc. Non-knurled induction coil for ionized metal deposition, sputtering apparatus including same, and method of constructing the apparatus
US8696875B2 (en) * 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6341574B1 (en) 1999-11-15 2002-01-29 Lam Research Corporation Plasma processing systems
US6302966B1 (en) 1999-11-15 2001-10-16 Lam Research Corporation Temperature control system for plasma processing apparatus
WO2001037314A1 (en) * 1999-11-15 2001-05-25 Lam Research Corporation Materials and gas chemistries for processing systems
US6322661B1 (en) 1999-11-15 2001-11-27 Lam Research Corporation Method and apparatus for controlling the volume of a plasma
US6320320B1 (en) 1999-11-15 2001-11-20 Lam Research Corporation Method and apparatus for producing uniform process rates
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6894245B2 (en) 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US8617351B2 (en) * 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US6853141B2 (en) * 2002-05-22 2005-02-08 Daniel J. Hoffman Capacitively coupled plasma reactor with magnetic plasma control
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US20030010454A1 (en) * 2000-03-27 2003-01-16 Bailey Andrew D. Method and apparatus for varying a magnetic field to control a volume of a plasma
US7067034B2 (en) 2000-03-27 2006-06-27 Lam Research Corporation Method and apparatus for plasma forming inner magnetic bucket to control a volume of a plasma
US6463873B1 (en) * 2000-04-04 2002-10-15 Plasma Quest Limited High density plasmas
US6863835B1 (en) 2000-04-25 2005-03-08 James D. Carducci Magnetic barrier for plasma in chamber exhaust
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
US6632322B1 (en) 2000-06-30 2003-10-14 Lam Research Corporation Switched uniformity control
US6462481B1 (en) 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6409933B1 (en) 2000-07-06 2002-06-25 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6694915B1 (en) 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6414648B1 (en) 2000-07-06 2002-07-02 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6685798B1 (en) * 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6494998B1 (en) 2000-08-30 2002-12-17 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma using an internal inductive element
US6673199B1 (en) 2001-03-07 2004-01-06 Applied Materials, Inc. Shaping a plasma with a magnetic field to control etch rate uniformity
JP4009087B2 (ja) * 2001-07-06 2007-11-14 アプライド マテリアルズ インコーポレイテッド 半導体製造装置における磁気発生装置、半導体製造装置および磁場強度制御方法
US7374636B2 (en) * 2001-07-06 2008-05-20 Applied Materials, Inc. Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US7504006B2 (en) * 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US7458335B1 (en) 2002-10-10 2008-12-02 Applied Materials, Inc. Uniform magnetically enhanced reactive ion etching using nested electromagnetic coils
US7422654B2 (en) * 2003-02-14 2008-09-09 Applied Materials, Inc. Method and apparatus for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US7232767B2 (en) * 2003-04-01 2007-06-19 Mattson Technology, Inc. Slotted electrostatic shield modification for improved etch and CVD process uniformity
US7022611B1 (en) 2003-04-28 2006-04-04 Lam Research Corporation Plasma in-situ treatment of chemically amplified resist
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7795153B2 (en) * 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7452824B2 (en) * 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7910013B2 (en) 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7470626B2 (en) * 2003-05-16 2008-12-30 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
JP4411581B2 (ja) * 2003-06-13 2010-02-10 株式会社Sen イオン源装置及びそのための電子エネルギー最適化方法
US7686926B2 (en) * 2004-05-26 2010-03-30 Applied Materials, Inc. Multi-step process for forming a metal barrier in a sputter reactor
US7527713B2 (en) * 2004-05-26 2009-05-05 Applied Materials, Inc. Variable quadruple electromagnet array in plasma processing
GB2419894B (en) * 2004-10-22 2009-08-26 Plasma Quest Ltd Sputtering system
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US8179050B2 (en) 2005-06-23 2012-05-15 The Regents Of The University Of California Helicon plasma source with permanent magnets
US7410593B2 (en) * 2006-02-22 2008-08-12 Macronix International Co., Ltd. Plasma etching methods using nitrogen memory species for sustaining glow discharge
JP5111913B2 (ja) * 2007-03-23 2013-01-09 株式会社東芝 光電気混載集積回路
US20080236491A1 (en) * 2007-03-30 2008-10-02 Tokyo Electron Limited Multiflow integrated icp source
US7976674B2 (en) * 2007-06-13 2011-07-12 Tokyo Electron Limited Embedded multi-inductive large area plasma source
JP5520455B2 (ja) * 2008-06-11 2014-06-11 東京エレクトロン株式会社 プラズマ処理装置
KR101287898B1 (ko) * 2009-08-25 2013-07-19 캐논 아네르바 가부시키가이샤 플라즈마 처리 장치 및 디바이스의 제조 방법
GB201006567D0 (en) * 2010-04-20 2010-06-02 Plasma Quest Ltd High density plasma source
US8590485B2 (en) 2010-04-26 2013-11-26 Varian Semiconductor Equipment Associates, Inc. Small form factor plasma source for high density wide ribbon ion beam generation
JP5800532B2 (ja) * 2011-03-03 2015-10-28 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6244103B2 (ja) 2012-05-04 2017-12-06 ヴァイアヴィ・ソリューションズ・インコーポレイテッドViavi Solutions Inc. 反応性スパッタ堆積のための方法および反応性スパッタ堆積システム
KR101542905B1 (ko) * 2013-04-26 2015-08-07 (주)얼라이드 테크 파인더즈 반도체 장치
TWI495746B (zh) * 2013-11-13 2015-08-11 Mingdao University 沉積系統
TWI670749B (zh) * 2015-03-13 2019-09-01 美商應用材料股份有限公司 耦接至工藝腔室的電漿源
KR20210009428A (ko) 2018-06-14 2021-01-26 엠케이에스 인스트루먼츠 인코포레이티드 원격 플라즈마 공급원을 위한 라디칼 출력 모니터 및 사용 방법
GB2576544A (en) * 2018-08-23 2020-02-26 Dyson Technology Ltd An apparatus
GB2588932B (en) * 2019-11-15 2022-08-24 Dyson Technology Ltd Method and apparatus for sputter deposition of target material to a substrate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1986006923A1 (en) * 1985-05-03 1986-11-20 The Australian National University Method and apparatus for producing large volume magnetoplasmas
US4859908A (en) * 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
DE58904540D1 (de) * 1988-03-24 1993-07-08 Siemens Ag Verfahren und vorrichtung zum herstellen von aus amorphen silizium-germanium-legierungen bestehenden halbleiterschichten nach der glimmentladungstechnik, insbesondere fuer solarzellen.
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5032202A (en) * 1989-10-03 1991-07-16 Martin Marietta Energy Systems, Inc. Plasma generating apparatus for large area plasma processing

Also Published As

Publication number Publication date
KR930703694A (ko) 1993-11-30
DE69218924T2 (de) 1998-01-15
EP0570484A1 (en) 1993-11-24
EP0570484B1 (en) 1997-04-09
ES2102497T3 (es) 1997-08-01
US5122251A (en) 1992-06-16
AU1352192A (en) 1992-09-07
KR100231221B1 (ko) 1999-11-15
ATE151569T1 (de) 1997-04-15
JP3271765B2 (ja) 2002-04-08
DE69218924D1 (de) 1997-05-15
WO1992014258A1 (en) 1992-08-20

Similar Documents

Publication Publication Date Title
JPH06506084A (ja) 高密度プラズマデポジションおよびエッチング装置
US4990229A (en) High density plasma deposition and etching apparatus
US5091049A (en) High density plasma deposition and etching apparatus
US5421891A (en) High density plasma deposition and etching apparatus
US5429070A (en) High density plasma deposition and etching apparatus
US5178739A (en) Apparatus for depositing material into high aspect ratio holes
JP5567005B2 (ja) 回転可能なターゲットを備えたマイクロ波を援用したpvd
US5648701A (en) Electrode designs for high pressure magnetically assisted inductively coupled plasmas
TW312815B (ja)
US5767628A (en) Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel
US6238528B1 (en) Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
JP2006506521A (ja) 高蒸着速度スパッタリング
JP2010525155A (ja) プラズマ発生装置
WO1992007969A1 (en) Apparatus for depositing material into high aspect ratio holes
TW200949000A (en) Coaxial microwave assisted deposition and etch systems
JP2000514595A (ja) 電子サイクロトロン共振プラズマ源用の同軸マイクロ波アプリケータ
JP3267174B2 (ja) プラズマ処理装置
JPH06220632A (ja) 陰極スパッタリングとマイクロ波照射によるプラズマ発生装置
JP2005517270A (ja) 誘導結合型プラズマ発生装置及び方法
US5506405A (en) Excitation atomic beam source
JP3071814B2 (ja) プラズマ処理装置およびその処理方法
JP4384295B2 (ja) プラズマ処理装置
JP3823001B2 (ja) プラズマ処理装置
JP4523566B2 (ja) ドライエッチング装置
JPH0578849A (ja) 有磁場マイクロ波プラズマ処理装置

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090125

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090125

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100125

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110125

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120125

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130125

Year of fee payment: 11

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130125

Year of fee payment: 11