JPH0785250B2 - 論理回路図処理装置 - Google Patents

論理回路図処理装置

Info

Publication number
JPH0785250B2
JPH0785250B2 JP61232337A JP23233786A JPH0785250B2 JP H0785250 B2 JPH0785250 B2 JP H0785250B2 JP 61232337 A JP61232337 A JP 61232337A JP 23233786 A JP23233786 A JP 23233786A JP H0785250 B2 JPH0785250 B2 JP H0785250B2
Authority
JP
Japan
Prior art keywords
signal
tracking
signal line
logic circuit
circuit diagram
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP61232337A
Other languages
English (en)
Other versions
JPS6386076A (ja
Inventor
誠一 西尾
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP61232337A priority Critical patent/JPH0785250B2/ja
Priority to US07/102,494 priority patent/US4855726A/en
Priority to GB8723004A priority patent/GB2197569B/en
Priority to KR1019870010923A priority patent/KR910001058B1/ko
Publication of JPS6386076A publication Critical patent/JPS6386076A/ja
Publication of JPH0785250B2 publication Critical patent/JPH0785250B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T1/00General purpose image data processing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T11/002D [Two Dimensional] image generation
    • G06T11/20Drawing from basic elements, e.g. lines or circles
    • G06T11/203Drawing of straight lines or curves
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06GANALOGUE COMPUTERS
    • G06G1/00Hand manipulated computing devices
    • G06G1/16Hand manipulated computing devices in which a straight or curved line has to be drawn through related points on one or more families of curves

Description

【発明の詳細な説明】 [発明の目的] (産業上の利用分野) 本発明は論理回路図処理装置に関し、特に論理回路図に
おける所定要素の表示構成に係わるものである。
(従来の技術) 最近のグラフィック機器の性能向上や、高機能ワークス
テーションの低価格化等により、デジタル回路の論理回
路図を、設計者が指定した通りに作成したり修正したり
する論理回路図編集装置や、論理素子間の接続関係を示
す接続データから自動的に論理回路図を生成する論理回
路図自動生成装置等の論理回路図処理装置が普及してき
ている。
このような論理回路図処理装置を用いて生成、編集され
た論理回路図を数日を経て見直す場合や、また、他人が
生成した論理回路図を確認する場合などには、ある特定
の信号が論理回路にどのように影響を与えているかを調
べることも生じる。
ところで、従来の論理回路図処理装置においては、特定
の信号名を指定すると、回路図上でのその信号線の座標
を出力したり、あるいは回路図上でその信号線の色を変
化させる等表示方法を変化させる機能を有している。
(例えば川本著「問い合せ機能をもつ回路図入力編集シ
ステム」情報処理学会、設計自動化16−3、1983)。従
って、上述のようにある信号の論理回路に対する影響を
追跡する場合、その信号名を指定し、信号線対応付け機
能により論理回路図上でその信号線を同定し、さらにそ
の信号線の接続先の論理素子に接続された信号名を指定
し、信号線対応付け機能により論理回路図上で信号線の
同定を行なうということを出力端子等に達するまで何度
も繰返して追跡していた。
(発明が解決しようとする問題点) しかしながら、例えば、出力端子に至るまでの段数が多
い論理回路においては、表示を視認しながら信号名を指
定する回数が非常に多くなり、手間が非常にかかってい
た。また、指定する回数が多いだけ、指定ミスが生じ易
く、追跡を正確に行ない得ないおそれがある。
本発明は以上の点を考慮してなされたもので、簡易な操
作によって短時間のうちに正確に信号の追跡動作を行な
うことのできる論理回路図処理装置を提供しようとする
ものである。
[発明の構成] (問題点を解決するための手段) かかる問題点を解決するため、本発明においては、論理
回路図中の任意の信号線を指定する信号指定手段と、前
記信号指定手段により指定された信号線の追跡のモード
指定する追跡モード指定手段と、前記信号指定手段によ
り指定された信号線を起点として前記追跡モード指定手
段により指定された追跡モードに従い順次接続先の信号
線を追跡し、追跡した信号線の表示属性を他の信号線と
は異なる表示属性に変更する信号追跡手段と、前記表示
属性に従い前記信号追跡手段により表示属性が変更され
た信号線を他の信号線とは異なる表示態様として論理回
路図を表示する論理回路図表示手段とを有するようにし
た。
(作用) 論理回路図上の任意の信号線(又は信号)を信号指定手
段1によって指定すると、信号追跡手段2がその信号線
(又は信号が通る信号線)が接続した論理素子に接続さ
れた他の信号線を追跡し、さらに信号追跡手段2が追跡
後の信号線が接続した論理素子に接続された他の信号線
を繰り返し追跡する。このようにして追跡された信号線
を、他の信号線と異なる表示態様で表示する。
その結果、ユーザが簡易な操作によって容易に所定信号
によって影響を受ける経路を追跡できるようになる。
(実施例) 第1実施例 以下、本発明の一実施例を図面を参照しながら詳述す
る。
第1図において、信号指定部1は例えばキーボード入力
装置や、マウス等でなり、追跡調査したい原信号をユー
ザの操作によって指定するものであり、その指定された
信号名(又は信号線名)は信号追跡部2に与えられる。
信号追跡部2は、CPU及びメモリを含んで構成されてお
り、メモリに格納されている第2図に示す追跡プログラ
ムを、メモリに格納されている第3図〜第5図に示す各
種テーブルTAB1〜TAB3を参酌しながら実行することによ
り、原信号に対する追跡を行なう。
論理回路図表示部3は信号追跡部2における各種テーブ
ルTAB1〜TAB3で定まる論理回路10(第6図参照)を表示
するようになされている。この実施例においては、原信
号に対する追跡経路上の信号線、論理素子等は、他の信
号線、論理素子等と異なる色で表示されるようになされ
ている。
例に、生成された論理回路10が第6図に示すように、デ
ータDA及びDBが入力される2入力オア回路E1と、イネー
ブル信号EN及びクロック信号CLKが入力される2入力ア
ンド回路E2と、オア回路E1の出力がデータ入力端子に与
えられると共に、アンド回路E2の出力がクロック入力端
子に与えられるDフリップフロップ回路E3と、そのQ出
力とデータDCが入力される2入力アント回路E4とで構成
され、これら論理素子E1〜E4間を信号線N1〜N9で結んだ
ものとする。この場合、各種テーブルTAB1〜TAB3の内容
は、第3図〜第5図に示すようになる。テーブルTAB1は
ノードテーブルを示し、データDA〜DC、イネーブル信号
EN、クロック信号CLKに対応した入力端子、各論理素子E
1〜E4、各信号線N1〜N9、出力信号Oに対応した出力端
子などのノードについて、ノード名、ノードの種類、端
子、接続信号線名を格納したものである。テーブルTAB2
はネットテーブルを示し、各信号線N1〜N9の信号線名、
入力側(ソース)と出力側(デスティネーション)に接
続されたノード名、表示属性、各信号線N1〜N9の始点、
折曲点、終点の座標を格納したものである。テーブルTA
B3は表示属性テーブルを示し、各表示属性値に対応した
信号線の色を格納している。
上述したように、このようなテーブルTAB1〜TAB3を用い
て、追跡処理が行なわれる。すなわち、信号追跡部2の
CPUは、ステップS1において、第2図に示す追跡プログ
ラムを開始した後、次のステップS2において追跡したい
原信号に対した信号線名を信号指定部1から取り込む。
次いで、CPUはステップS3に進んでネットテーブルTAB2
の指定された信号線名の欄を照会し、ステップS4におい
てその欄の表示属性を「1」(第5図参照)に書き換え
る。その後、ステップS5に進んで、その欄のデスティネ
ーションのノード名を得、ノードテーブルTAB1のノード
名の欄を照会する。
次いで、ステップS6においてそのノード名の欄における
ノードの種類が出力端子か否かを判断し、肯定結果を得
ると、ステップS7に進んで、当該追跡プログラムを終了
する。これに対して、出力端子でないことにより、ステ
ップS6において否定結果を得ると、ステップS8に進んで
端子NTが出力の接続信号線名を求め、ステップS9におい
て求めた接続信号線名に基づきネットテーブルTAB2のそ
の欄をひき、その後、上述のステップS4に戻って、以
下、同様の処理を繰り返す。
以上の構成において、信号指定部1において追跡する原
信号としてデータDCが選択され、信号線N5が指定された
とする。このとき、信号追跡部2のCPUは、ネットテー
ブルTAB2の信号線名N5の表示属性「1」に変換した後、
そのデスティネーションのノード名を求め、ノード名E4
を得る。このノード名E4から今度は、ノードテーブルTA
B1を引き、信号線名N9を得る。
次いで、この信号線名N9から再びネットテーブルTAB2を
引き、その欄の表示属性を「1」に変換する。この信号
線名N9に対応したデスティネーションのノード名は出力
端子Oであるので、以上で追跡を終了する。
従って、終了時におけるネットテーブルTAB2は第7図に
示すように信号線名N5及びN9の表示属性が第4図のネッ
トテーブルTAB2と異なり、「1」に変っている。そのた
め、追跡動作終了時においては、論理回路部表示部3に
より表示された論理回路は、信号線N5及びN9が赤色で、
他の信号線N1〜N4,N6〜N8が白色のものとなる。
以上のように、上述の実施例によれば、ユーザが論理回
路に対してどのような影響を与えるかを調査したい原信
号を単に指定することによって、以下の操作を要するこ
となく、その信号によって影響を受ける経路上の信号線
を視認することができる。従って、簡易な操作によって
信号の流れ等を確認することができる。
第2実施例 次に、本発明の第2実施例について詳述する。
この実施例の場合、追跡の終端を論理ゲート、記憶素子
(例えばフリップフロップ回路)、出力端子の何れか
(以下追跡モードと呼ぶ)をユーザが任意に選定できる
ようになされており、また、信号線の表示色としても第
9図に示すように4色を有し、3つの原信号に対する追
跡経路を表示色を変えて同時に表示できるようになされ
ている。
この第2実施例に関する追跡プログラムを第10図に示
す。信号追跡部2のCPUは、ステップS10において当該プ
ログラムを開始した後、ステップS11において追跡した
い原信号に対応した信号線名、その追跡経路に関する表
示属性値(従って、表示色)、及び追跡モード値を信号
指定部1より取り込む。
なお、この実施例の場合、追跡モード値が「0」のとき
には原信号に対応する信号線だけの追跡を、「1」のと
きにはフリップフロップ回路までの追跡をその他のとき
には出力端子までの追跡を指示している。
CPUはステップS11の処理を終えると、ステップS12に進
んでネットテーブルTAB2(第4図)を引き、ステップS1
3においてその信号線名の表示属性を指定された表示属
性値に置き変えた後、ステップS14に進む。ステップS14
においては追跡モード値が「0」か否かを判断し、
「0」の場合には、直ちにステップS15に進んで当該追
跡プログラムを終了する。
これに対して、ステップS14において否定結果を得る
と、ステップS16に進んで当該信号線名に対応するデス
ティネーションのノード名を求め、そのノード名に基づ
きノードテーブルTAB1(第3図)を引く。その後、ステ
ップS17に進んで追跡モード値が「1」であり、かつ、
そのノード名のノードの種類がフリップフロップ回路で
あるか否かを判断する。
その結果、肯定結果を得ると、ステップS15において当
該プログラムを終了し、これに対して、否定結果を得る
と、ステップS18に進んでノードの種類が出力端子か否
かを判断する。
ノードの種類が出力端子であると、ステップS15に進ん
で追跡を終了する。他方、出力端子でないとステップS1
9において当該ノード名に対応する接続信号線名を求
め、その後、ステップS20において、この接続信号線名
に基づきネットテーブルTAB2を引き、上述のステップS1
3に戻る。
以上の構成において、第6図の論理回路10について、信
号線名N3、追跡モード値「0」、表示属性値「1」が指
定されたとする。この場合、信号線N3についての表示属
性が「1」に変換された後(第11図参照)、追跡モード
値が「0」であるため直ちに追跡動作が終了する。
次いで、ネットテーブルTAB2が第11図の状態にあるとき
信号線名N4、追跡モード値「1」、表示属性値「2」が
指定されたとする。この場合、信号線N4についての表示
属性「2」に変換された後、ネットテーブルTAB2及びノ
ードテーブルTAB1が順次参照され、信号線N7が追跡され
て信号線N7についての表示属性「2」に変換される。
(第12図参照)。このとき、追跡モード値が「1」であ
るため、追跡がフリップフロップ回路E3に達したとき追
跡動作を終了する。
このようにしてネットテーブルTAB2が、第12図に示すよ
うに変換された後、信号線名N1、追跡モード値「2」、
表示属性値「3」が指定されたとする。この場合、追跡
モード値が「2」であるため、追跡が出力端子に達する
まで、ネットテーブルTAB2及びノードテーブルTAB1を交
互に繰り返し参酌して信号線N1,N6,N8,N9の表示属性を
「3」に変換する。その結果、ネットテーブルTAB2は第
13図に示すようになる。
その結果、論理回路図表示部3によって、追跡に関係し
ない信号線N2及びN5は白で、また、第1回目の追跡によ
る経路上の信号線N3は赤で、第2回目の追跡による経路
上の信号線N4及びN7は青で、最後の追跡による経路上の
信号線N1,N6,N8,N9は黄色で表示される。
従って、上述の実施例によれば、簡易な操作によって同
一画面上に、種々の追跡モードで複数の追跡結果を表示
でき、ユーザに視認させることができる。そのため、指
定を工夫することにより、データ系の経路と、制御信号
(クロック信号、イネーブル信号)系の経路とを同一画
面上区別させて表示することができる。
他の実施例 本発明は上述の第1及び第2実施例に示すものに限られ
ることなく、例えば以下に示すような変形を施したもの
であっても良い。
(1) 上述においては、デスティネーションのノード
と、各ノードの出力端子がそれぞれ1個のものを示した
が、それ以上を有するものにも適用でき、その場合各デ
スティネーションのノード、各出力端子の全てについて
追跡するようにしても良い。
(2) また、ループを含む論理回路については、追跡
済フラグ等を用いて一巡の追跡が終了すると、追跡動作
を終了させるようにしても良い。
(3) 上述の実施例においては、入力側から出力側へ
追跡するものを示したが、出力側から入力側へ追跡する
ものであっても良い。この場合、各種テーブルTAB1,TAB
2の参照の仕方が上述と異なるようになる。
(4) 追跡の終端を、例えば3個先の論理素子前と指
定するように、段数を限って追跡させるようにしても良
い。
(5) 追跡経路上の信号線を、他の信号線と区別して
表示する方法としては、上述のように色を変える他、線
の太さを変えたり、線の種類(破線、実線,1点鎖線等)
を変えたり、ブリンク表示等を行なう方法であっても良
い。
[発明の効果] 以上のように、本発明によれば、ユーザが追跡したい信
号を指示するという簡易な操作によって、その追跡経路
上の信号線を他の信号線と区別して表示することがで
き、ユーザの論理回路に対する確認作業を容易化するこ
とのできる論理回路図処理装置を得ることができる。
【図面の簡単な説明】
第1図は論理回路図処理装置の全体構成を示すブロック
図、第2図は本発明の第1実施例による追跡処理手順を
示すフローチャート、第3図〜第5図はそれぞれ追跡処
理において用いる各種テーブルを示す図表、第6図は追
跡動作の説明に供するための論理回路を示すブロック
図、第7図及び第8図はそれぞれ追跡動作によって変化
したネットテーブルTAB2を示す図表、第9図は本発明の
第2実施例による表示属性テーブルTAB2を示す図表、第
10図は本発明の第2実施例による追跡処理手順を示すフ
ローチャート、第11〜第13図はそれぞれ第2実施例の追
跡動作によって変化したネットテーブルTAB2を示す図表
である。 1……信号指定部 2……信号追跡部 3……論理回路図表示部

Claims (2)

    【特許請求の範囲】
  1. 【請求項1】論理回路図中の任意の信号線を指定する信
    号指定手段と、 前記信号指定手段により指定された信号線の追跡のモー
    ド指定する追跡モード指定手段と、 前記信号指定手段により指定された信号線を起点として
    前記追跡モード指定手段により指定された追跡モードに
    従い順次接続先の信号線を追跡し、追跡した信号線の表
    示属性を他の信号線とは異なる表示属性に変更する信号
    追跡手段と、 前記表示属性に従い前記信号追跡手段により表示属性が
    変更された信号線を他の信号線とは異なる表示態様とし
    て論理回路図を表示する論理回路図表示手段と、 を有することを特徴とする論理回路図処理装置。
  2. 【請求項2】前記追跡モード指定手段は、 前記信号指定手段により指定された信号線を起点として
    入力側から出力側への正順追跡、若しくは、出力側から
    入力側への逆順追跡を行う正逆順追跡モード、 前記正逆順追跡モードにより指定された順で追跡の段数
    を限定して追跡を行う段数制限追跡モード、 前記正逆順追跡モードにより指定された順で追跡の終端
    を出力端子または入力端子まで追跡を行う無制限追跡モ
    ード、 前記正逆順追跡モードにより指定された順で追跡の終端
    を特定の素子まで追跡を行う特定素子追跡モード、 の各モード指定することを特徴とする特許請求の範囲第
    1項記載の論理回路図処理装置。
JP61232337A 1986-09-30 1986-09-30 論理回路図処理装置 Expired - Lifetime JPH0785250B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP61232337A JPH0785250B2 (ja) 1986-09-30 1986-09-30 論理回路図処理装置
US07/102,494 US4855726A (en) 1986-09-30 1987-09-29 Signal tracing apparatus for logic circuit diagrams
GB8723004A GB2197569B (en) 1986-09-30 1987-09-30 Logic circuit diagram processing apparatus and method
KR1019870010923A KR910001058B1 (ko) 1986-09-30 1987-09-30 논리 회로도 처리 장치 및 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP61232337A JPH0785250B2 (ja) 1986-09-30 1986-09-30 論理回路図処理装置

Publications (2)

Publication Number Publication Date
JPS6386076A JPS6386076A (ja) 1988-04-16
JPH0785250B2 true JPH0785250B2 (ja) 1995-09-13

Family

ID=16937618

Family Applications (1)

Application Number Title Priority Date Filing Date
JP61232337A Expired - Lifetime JPH0785250B2 (ja) 1986-09-30 1986-09-30 論理回路図処理装置

Country Status (4)

Country Link
US (1) US4855726A (ja)
JP (1) JPH0785250B2 (ja)
KR (1) KR910001058B1 (ja)
GB (1) GB2197569B (ja)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5220512A (en) * 1990-04-19 1993-06-15 Lsi Logic Corporation System for simultaneous, interactive presentation of electronic circuit diagrams and simulation data
US5751592A (en) * 1993-05-06 1998-05-12 Matsushita Electric Industrial Co., Ltd. Apparatus and method of supporting functional design of logic circuit and apparatus and method of verifying functional design of logic circuit
JP3124417B2 (ja) * 1993-07-13 2001-01-15 三菱電機株式会社 論理シミュレーションシステム及び論理シミュレーション方法
JP3374996B2 (ja) * 1993-11-12 2003-02-10 理想科学工業株式会社 印刷画像位置表示装置
JP3351651B2 (ja) 1995-04-07 2002-12-03 富士通株式会社 会話型回路設計装置
US5870309A (en) * 1997-09-26 1999-02-09 Xilinx, Inc. HDL design entry with annotated timing
US5949690A (en) * 1997-09-26 1999-09-07 Xilinx, Inc. Schematic design entry with annotated timing
US6625789B2 (en) * 2000-04-14 2003-09-23 Hitachi, Ltd. Computer-readable medium for recording interface specifications
JP2001331541A (ja) * 2000-05-18 2001-11-30 Nec Corp 配線接続確認装置及び確認方法並びにそのプログラムを記載した記録媒体
US6564365B1 (en) * 2001-08-03 2003-05-13 Hewlett-Packard Development Company, L.P. Method of simultaneously displaying schematic and timing data
US7423646B2 (en) * 2005-11-08 2008-09-09 Microsoft Corporation Smart shape insert
US8629380B2 (en) 2007-03-23 2014-01-14 Graphic Packaging International, Inc. Susceptor with corrugated base

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4656603A (en) * 1984-03-01 1987-04-07 The Cadware Group, Ltd. Schematic diagram generating system using library of general purpose interactively selectable graphic primitives to create special applications icons
US4710763A (en) * 1984-10-19 1987-12-01 Texas Instruments Incorporated Method for generating and displaying tree structures in a limited display area
JPS6221907A (ja) * 1985-07-19 1987-01-30 ゼニヤ海洋サ−ビス株式会社 浮桟橋等の連結装置

Also Published As

Publication number Publication date
GB2197569B (en) 1991-01-02
JPS6386076A (ja) 1988-04-16
GB8723004D0 (en) 1987-11-04
KR910001058B1 (ko) 1991-02-23
GB2197569A (en) 1988-05-18
US4855726A (en) 1989-08-08
KR880004393A (ko) 1988-06-07

Similar Documents

Publication Publication Date Title
JPH0785250B2 (ja) 論理回路図処理装置
JPH103374A (ja) 制御設計用コンフィギュレーション・ツールの部品再利用方法
JPH06149532A (ja) カーソル形状設定方法
JPH07191840A (ja) プログラム自動生成装置
JPH03204687A (ja) プレゼンテーション装置
JPH0916801A (ja) コマンド指定装置
JPH0635987A (ja) 回路図作成装置および回路図作成方法
JP2845875B2 (ja) 定義体のオブジェクト作成処理装置
JPH05181736A (ja) ハイパーメディアシステム
JP2965000B2 (ja) ハードウェア記述言語編集装置及びハードウェア記述言語編集方法並びにハードウェア記述言語編集プログラムを記憶した記憶媒体
JP2998453B2 (ja) 制御の実行順序表示装置
JPH10161713A (ja) プログラム作成方法
JP3699569B2 (ja) 画像作成装置及び方法
JPH07104876B2 (ja) 設計支援方法及び設計支援装置
JP3248800B2 (ja) 回路図作成装置及び回路図作成方法
JPH06251108A (ja) コンピュータ援用による基板配線パターンの設計方法
JPH03154133A (ja) トレース処理方式
JP2922536B2 (ja) 論理回路図処理装置、及び論理回路図表示方法
JPH06214989A (ja) 文書表示方法及びその装置
JPS6152748A (ja) マイクロコンピユ−タ開発装置のトレ−ス選択方式
JPH10105589A (ja) 仕向を考慮した回路図設計方法及び回路情報作成方法、ならびにcadシステム
JPH0418674A (ja) 回路図入力方式
JPH03214260A (ja) 電子回路図検索表示システム
JPH04195529A (ja) 注釈文付加方式
JPH0635985A (ja) ブロック接続方式

Legal Events

Date Code Title Description
EXPY Cancellation because of completion of term