JPH0760899B2 - ポリシリコン・ゲートfetの形成方法 - Google Patents

ポリシリコン・ゲートfetの形成方法

Info

Publication number
JPH0760899B2
JPH0760899B2 JP63137585A JP13758588A JPH0760899B2 JP H0760899 B2 JPH0760899 B2 JP H0760899B2 JP 63137585 A JP63137585 A JP 63137585A JP 13758588 A JP13758588 A JP 13758588A JP H0760899 B2 JPH0760899 B2 JP H0760899B2
Authority
JP
Japan
Prior art keywords
layer
silicide
polysilicon
gate
semiconductor substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP63137585A
Other languages
English (en)
Other versions
JPH01133368A (ja
Inventor
ジヨン・ロバート・エベアネシイ
ジヨン・エドワード・クロウニン
ジエローム・ブレツト・ラスキイ
Original Assignee
インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン filed Critical インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン
Publication of JPH01133368A publication Critical patent/JPH01133368A/ja
Publication of JPH0760899B2 publication Critical patent/JPH0760899B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2257Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer being silicon or silicide or SIPOS, e.g. polysilicon, porous silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

【発明の詳細な説明】 A.産業上の利用分野 本発明は、電界効果トランジスタ(FET)デバイスのソ
ース電極、ゲート電極、ドレイン電極の面積抵抗率を減
少させることに関する。
B.従来の技術 高密度半導体メモリ・デバイスを製造する際には、多数
の記憶セルをただ1本の導線に沿って結合する。この導
線(すなわち、ワード線)は、通常、ある記憶セルを構
成するデバイスのうち少なくとも1個のデバイスの制御
電極を形成する。たとえば、記憶コンデンサと結合され
ているトランジスタを含むいわゆるワンデバイス式動的
ランダム・アクセス記憶セルでは、ワード線はトランジ
スタのゲート電極を形成する。
当技術では、アルミニウムなどの低抵抗導体を用いてワ
ード線の面積抵抗率を減少させることが知られている。
1970年代の初期ないし半ばから、これら材料が当産業界
に広く受け入れられてきた。しかし、自己整合式FET
(ゲート電極によって部分的に画定される基板の領域中
にソースおよびドレイン不純物領域が注入されている)
が開発されて、ポリシリコンなどの耐熱性導体の採用が
必要となった。
面積抵抗率を減少させるもう一つの方法は、ポリシリコ
ン・ゲートの上面(すなわち「ストラップ」)に耐火金
属ケイ化物を形成させるものである。通常、米国特許第
4593454号明細書(1986年6月10日付けでボードラン(B
audrant)等に授与)に示すように、FETデバイスのゲー
ト電極とソース/ドレイン拡散領域上に同時にケイ化物
層が形成される。より詳しく言うと、基板上にポリシリ
コン・ゲート電極を画定した後、イオンを注入してソー
ス/ドレイン領域を画定する。その後、酸化物を付着し
てエッチングし、ポリシリコン・ゲートの側壁を酸化物
で覆う。次いで、耐火金属(上記特許ではタンタルを使
用)を基板に付着させ、加熱サイクルを実行してタンタ
ルの露出シリコン上に付着した部分にケイ化タンタルを
形成させる。酸化物をポリシリコン・ゲート電極の側壁
に付着させると、ゲート電極の上面に形成したケイ化物
がソース/ドレイン領域に形成されたケイ化物に接続さ
れるのが防止されることに留意されたい。
ボードラン(Baudrant)等の特許明細書に例示されるよ
うな、一般的なゲートおよびソース/ドレインの同時ケ
イ化物形成プロセスには、いくつかの欠点がある。半導
体業界での最近の傾向は、ソース/ドレイン拡散領域の
深さを0.5ミクロン以下まで減少させることである。こ
のいわゆる「浅い接合部」は、FETのチャンネル長さが
1ミクロンのバリア以下に減少しているので、突抜け欠
陥に対する抵抗力が増す。耐火金属を浅い接合部の上に
付着させてその上にケイ化物を形成させる場合、ケイ化
物反応中に消費される接合部のシリコンの量がこの浅い
接合部領域の特性を大幅に低下させることがある。従来
技術では、耐火金属の付着に先立って浅い接合部の表面
に余計にシリコンを取り組むことによってこの問題に対
処している。たとえば、IBMテクニカル・ディスクロー
ジャ・ブルテン(IBM Technical Disclosure Bulleti
n)、第20巻、第9号、1979年2月、3480〜3482頁に所
載の「非常に浅い接合部構造用の制御されたオーム接点
およびプレーナ化(Controlled Ohmic Cotact and Plan
arization For Very Shallow Junction)」と題するリ
ーズ(Reith)等の論文に示されているように、ケイ化
物形成後の浅い接合部の保全性を維持するために、注入
後にエピタキシャル・シリコンを成長させる。このプロ
セスは、取捨選択を迫る。選択的エピタキシャル成長に
よりソース/ドレイン領域のシリコン消費量を減らす
と、このプロセスにつきものの高温によってソース/ド
レイン・ドーパントが基板内にさらに叩き込まれ、した
がって所期の浅い接合部の特性が低下する。
米国特許明細書第4587718号(1986年5月13日付けでハ
ーケン(Haken)等に授与)では、ソース/ドレインケ
イ化物に先立ってゲート電極ケイ化物が形成される。窒
化物マスクを使って、デバイスの範囲を完全に覆う酸化
物の上面にポリシリコン・ゲートを画定する。次いで、
窒化物/ポリシリコン・スタックを注入マスクとして用
いて、この酸化物層中への注入により、ソース/ドレイ
ン拡散領域を形成する。それから、窒化物マスクを除去
して、耐火金属層を基板上に付着させる。ソース/ドレ
イン領域は酸化シリコン層で覆われているので、耐火金
属層(この場合はタンタル)が露出したポリシリコン・
ゲートと反応しても、このソース/ドレイン層の上のケ
イ化物が形成されない。ゲート電極ケイ化物形成プロセ
スの完了後、ソース/ドレイン拡散領域の上の酸化物層
を除去して、チタンの第2層を基板に付着させる。続く
ソース/ドレイン・ケイ化物反応の間に、前に形成した
ケイ化チタン・ゲート電極はその厚みを増す。こうし
て、ゲート電極上に厚いケイ化チタン層が形成され、ソ
ース/ドレイン領域の上に薄いケイ化チタン層が形成さ
れる。
また、米国特許明細書第4453306号(1984年6月12日付
けでリンチ(Lynch)等に授与)をも参照のこと。ゲー
ト電極ケイ化物の形成後、電極の上面を酸化物で被覆す
る。続いて、ポリシリコンをデバイスに付着させ、ソー
ス/ドレイン領域の上にだけかぶさるようにパターン付
けする。次いで、コバルトをデバイスに付着させ、焼成
してソース/ドレイン領域上にケイ化コバルト電極を形
成させる。ケイ化物ゲート電極の上面の酸化物により、
その上にケイ化物がさらに形成されることが防止され
る。
本発明者等は、ゲート電極の面積抵抗率を耐火金属ケイ
化物で得られる値以下に減少させる様々の方法を研究し
た。ケイ化タングステンは、約50μΩ・cmの抵抗率を示
す。また、タングステン層は約10μΩ・cmの抵抗率を有
し、アルミニウム層は3μΩ・cm程度の抵抗率を有す
る。したがって、本発明者等は、ケイ化物ゲート形成ス
テップの代りにタングステンまたはアルミニウムの付着
を行なうことにより、リンチ(Lynch)等と同様の手法
を修正することを考えた。だが、この修正は所期の結果
を与えなかった。タングステンをポリシリコン層の上面
に付着させた場合、これらの層は、後の注入ドライブ・
インおよびソース/ドレインケイ化物形成ステップ中に
反応して、ケイ化物を生じる。このため、ケイ化タング
ステンの形成により(純粋なタングステンに比べて)ゲ
ート電極の面積抵抗率が大幅に増加する。同様に、アル
ミニウム層(またはアルミニウム/シリコンなどのアル
ミニウム合金)の物理的/電気的性質は、こうした高い
処理温度にされされると大幅に低下する。
ゲート電極上に耐火金属ケイ化物を形成することにより
もう一つの潜在的問題が出てくる。CMOS回路には、いわ
ゆる「2重仕事関数」式ゲート電極を利用するものがあ
る。この技術では、pチャンネル・デバイスの特性を向
上させるために、nチャンネル・デバイスおよびpチャ
ンネル・デバイス用のポリシリコン・ゲートを、それぞ
れP型およびN型のドーパントでドープする。後でケイ
化物を形成させるため、これらの異なる方式でドープし
たポリシリコン・ゲート電極を耐火金属層で覆う場合に
は、ポリシリコン・ドーパントが(耐火金属ケイ化物中
でのドーパントの拡散性が高いため)互いに混合して上
記の2重仕事関数の利点を破壊することがある。
したがって、当業界では、面積抵抗率の低い金属ストラ
ップ付きポリシリコン・ゲート電極ならびにケイ化物ソ
ース/ドレイン電極を有するFETデバイスを提供する必
要が生じている。
C.発明が解決しようとする問題点 本発明の目的は、面積抵抗率ができるだけ低いFETデバ
イス用の金属ストラップ付きポリシリコン・ゲート電極
を提供することにある。
本発明のもう一つの目的は、FETデバイスのソース/ド
レイン領域用の、面積抵抗率が低いケイ化物電極を提供
することにある。
本発明のもう一つの目的は、上面が平面状で面積抵抗率
が低いFETテバイスを提供することにある。
本発明のさらに別の目的は、FETデバイス用のできるだ
け浅いソース/ドレイン領域を提供し、同時にソース/
ドレイン領域用の面積抵抗率が低いケイ化物電極を提供
することにある。
本発明のさらに別の目的は、2重仕事関数ドーパントの
相互混合を起こさずに、FETのゲート電極の面積抵抗率
を最小にすることにある。
D.問題点を解決するための手段 本発明の上記およびその他の目的は、面積抵抗率の低い
金属ストラップ付きポリシリコン・ゲートFETを作成す
る方法によって実現される。半導体基板の露出面上にゲ
ート・スタックを画定する。ゲート・スタックは、パタ
ーン付けされたポリシリコン層上に配置されたゲート・
マスクを含んでいる。ゲート・スタックを注入および電
極形成用の補助マスクとして用いて、第1および第2の
ケイ化物電極が上に配置された第1および第2の浅い拡
散領域を形成させる。こうして、基板上に絶縁層を付着
させる。絶縁層の厚さは、上記ゲート・スタックの厚さ
にほぼ等しい。上記ゲート・マスクの上面が露出するよ
うに、絶縁層を平坦化しゲート・マスクを除去して、絶
縁層内にポリシリコン層を露出させる開口部を画定す
る。N型およびP型のドーパンを露出ポリシリコンの特
定の領域に導入して、2重仕事関数ゲートを画定し、面
積抵抗率の低い導電性材料を基板に付着させて、上記絶
縁体層中の開口部を少なくとも部分的に充填して、平坦
化した絶縁体層と相対的に同一平面内にある上記FETの
ゲート電極を形成させる。
E.実施例 第1図に示すように、基板1上にポリシリコンの層30と
窒化シリコンの層40を形成させる。基板1は、P+型の<
100>単結晶シリコン・ウェハであり、その中に分離領
域10が形成されている。実際には、P+基板上に薄いP-
エピタキシャル層を成長させ、エピタキシャル層の特定
の部分中にN型ウェルを形成させる。エピタキシャル層
とN型ウェルは、図が簡単になるように、示していな
い。分離領域10は、通常の半埋設式酸化物(S−ROX)
分離構造にしてよい。ただし、本発明は、分離領域の幅
を厳密に制御しなければならない高密度技術と一緒に利
用されるものと企図されている。さらに、後でより詳し
く論じる理由から、本発明では、基板の上面と分離領域
の上面との間に比較的高度の平面性を保つことが重要で
ある。したがって、基板内に完全に埋設された分離構造
を利用するのが好ましい。このような構造の一例は、IE
DM技術論文ダイジェスト集(IEDM Digest of Technical
Papers)1981年、384頁所載の「VLSI用の新しいバーズ
・ビークなし分離(A New Bird′s Beak Free Isolatio
n for VLSI)」と題するクロサワ等の論文中に示されて
いる。この開示を引用により本明細書に組み込む。
次に、分離領域10の形成後、ドーパントを基板内へ単に
注入することにより、拡散領域15を形成させる。拡散領
域15は、N型ドーパントを注入または拡散することによ
って形成される。領域15の目的は、あとで形成されるFE
Tデバイスのしきい電圧を制御することである。
拡散領域15を設けた後、基板の露出面上に誘電体層20を
形成させる。通常、誘電体層20は、厚さ100オングスト
ロームの酸化シリコン層である。実際には、適当な誘電
特性を有するその他の構造体(たとえば、酸窒化シリコ
ン層、窒化シリコン層、または複数の窒化シリコンと酸
化シリコンの層)を使用することもできる。次いで、通
常の技法を用いて誘電体層20上に厚さ2000オングストロ
ームのP型多結晶シリコン30を付着させ、やはり通常の
技法を用いてポリシリコン層30上に厚さ2500オングスト
ロームの化学的気相成長による窒化シリコン40の層を形
成させる。
第2図で、感光性ポリマ(たとえば、ノボラックをベー
スとするフォトレジスト)を窒化シリコン層40上に被覆
し、露光し現象してほぼ垂直な側壁を有するフォトマス
ク50を画定する。次に窒化シリコン層40およびポリシリ
コン層30の露出部分を続けて指向性モードでエッチング
して、窒化シリコン部分40Aとポリシリコン部分30Aを含
むゲート・スタック100を画定する。実際には、窒化シ
リコン層はCF4/O2プラズマにさらすことによりパターン
付けでき、ポリシリコン層はHCl/Cl2気体プラスマにさ
らすことによりパターン付けできる。
工程のこの時点で、窒化シリコン部分40Aがポリシリコ
ン・ゲート電極30Aの上でマスキング構造体を画定して
いることに留意されたい。
第3図で、フォトマスク50の除去後、ポリシリコン部分
30Aの露出側面上に側壁スペーサ60を形成させる。側壁
スペーサ60は、1つまたは2つの方法を用いて形成でき
る。第1の方法は、単にポリシリコン部分30Aの露出表
面を酸化させるものである。上にある窒化シリコン・マ
スキング構造体40Aが、このステップ中ポリシリコン部
分30Aの上面が酸化されるのを防止していることに留意
されたい。好ましい方法は、構造体全体の上に酸化物層
を付着させ、指向性エッチングを施して、基板の水平表
面から付着した層を除去する方法である。この除去ステ
ップ中に、下にある酸化物層20の露出部分も除去してゲ
ート誘電体20Aを画定する。
側壁スペーサ60の形成後、ソース領域70およびドレイン
領域80を形成させ、その上にそれぞれケイ化物電極70
A、80Aを形成させる。拡散領域およびケイ化物電極は、
いくつかの技法のうちの1つを使って形成させることが
できる。1つの技法は、単にゲート・スタック100を注
入マスクとして使ってドーパント・イオンを注入して拡
散領域を画定し、基板の上にコバルトやチタンなどの耐
火金属を付着させ、構造体を焼成して接合部領域の上に
CoSi2またはTiSi2を形成させるものである。窒化シリコ
ン40Aおよび側壁60は耐火金属がポリシリコン30と結合
してゲート・ケイ化物を形成するのを防止していること
に留意されたい。第1の代案は、まず露出したシリコン
領域の上にエピタキシャル・シリコンを成長させてケイ
化物反応中に消費されるシリコンの量を減少させ、次い
で上記の注入、耐火金属付着、アニールの各ステップを
実行するものである。この第1の代案は、浅い接合部が
必要なときに採用される。第2の代案は、耐火金属(た
とえば、コバルト)を付着させ、基板を熱処理して(シ
リコン・リッチなケイ化物の形成には不充分な温度また
は時間でアニールを行なうことにより)金属リッチなケ
イ化物を形成させ、金属リッチなケイ化物を除去せずに
耐火金属を除去し、金属リッチなケイ化物中にイオンを
注入し、基板をアニールしてドーパントを金属リッチな
ケイ化物中から叩き出して浅いソース/ドレイン接合部
領域を画定し、同時に金属リッチなケイ化物をシリコン
・リッチなケイ化物に変換する。この第2の代案は、選
択的エピタキシャル・シリコンの成長を必要とせず、浅
い接合部と両立する点で、より好ましい。どちらの方法
を選んでも、鍵となる要因は、ポリシリコン・ゲートの
上面にマスキング構造が存在する状態で工程を実行する
ことである。すなわち、通常800℃〜1000℃の範囲の温
度で行なわれるケイ化物形成ステップとドーパント拡散
ステップを、FETのゲート電極が完全に形成される前に
実行する。
第4図に示すように、次に、好ましくは二酸化シリコン
の厚い共形層90で基板を被覆する。付着する共形層90の
厚さは、ゲート・スタック100の厚さ(すなわち、約450
0オングストローム)ほぼ等しいが、これよりもやや薄
くするのが好ましい。この厚さの差は、ウェハ表面上で
の表面形状のばらつきを補償するのに必要である。たと
えば、分離領域10は基板1の上面と相対的に同一平面上
にくる表面を有するように構成されているものの、実際
には、分離領域10の表面が基板1の表面より上に延びる
ことがある。さらに、共形層90の部分90Aがゲート・ス
タック100の上面より上に延びていることに留意された
い。共形層90とゲート・スタック100の厚さの差は、後
述するように研磨によって部分90Aを除去する際に、特
に重要である。
第5図に示すように、次に、共形層90の表面をプレーナ
化すなわち平坦化して、ゲート・スタック100の上面よ
り上に延びる部分90Aを除去する。共形層90をプレーナ
化する好ましい方法は、ウェハを研磨スラリの存在下で
機械的研磨処理にかけることである。さらに具体的に言
うと、カボット(Cabot)社から「カボット(Cabot)SC
O1」の商品名で市販されているスラリを、6〜8psiの圧
力に保持されたSuba IV有孔研磨パッドを備えたストラ
スボー(Strasbaugh)ウェハ研磨具に供給する。この処
理を約4分間行なうと、部分90Aを除去しバルク膜90を
プレーナ化するのに充分であることが判明している。す
なわち、共形層90の部分90Aを除去した後、研磨パッド
が残りの共形層の上面に接触する。研磨パッドがバルク
共形層の表面に接触するとき、研磨パットの受ける摩擦
力が大幅に増大することが判明している。研磨パッドの
受ける力を監視して、研磨処理をこの時点で停止し、あ
るいはその後離散量の時間だけ継続することができる。
したがって、第5図に示すように、窒化シリコン・マス
キング構造体の上面は共形層90の残りの部分とほぼ同一
平面上にあり、その部分によって露出されている。もう
一つのプレーナ化の方法は、共形酸化物層90の表面をフ
ォトレジスト層で被覆し、基板をエッチャントにさらし
て、フォトレジストおよび下にある酸化物をほぼ同じ速
度で除去させることから成る。フォトレジストは非共形
層なので、その平面上状の上面が単に酸化物層中で複製
される。
次に、第6図に示すように、窒化シリコン・マスキング
構造体を除去して下にあるポリシリコン・ゲート30Aの
部分を露出させる。実際には、温度165℃にさらすこと
により、H3PO4溶媒に二酸化シリコンまたはポリシリコ
ンをあまり侵食せずに、窒化シリコンを除去することが
できる。
窒化シリコン・マスクの除去後、Nチャンネル・デバイ
スの上にブロック・マスクを付着させ、露出したバイア
内部の露出したポリシリコンにP型不純物を注入する。
次いで、第2のブロック・マスク(第1のブロック・マ
スクの相補形)を画定し、露出したポリシリコンにN型
ドーバントを注入する。したがって、ポリシリコンをパ
ターン付けした後、窒化シリコン・マスクを除去してか
ら、2重仕事関数注入を実行する。ポリシリコンのパタ
ーン付けより前に注入を行なう場合には、得られるNお
よびPドープ・ポリシリコン領域が後で異なる速度でエ
ッチングされてしまう。パターン付けの後に注入を行な
う場合は、窒化シリコン・マスクを貫通するのに必要な
注入エネルギーが高いため、他の構造体に望ましくない
ドーピングが施されることがある。
最後に、金属30Bを(必要に応じて)付着させ画定し
て、FETゲート電極をストラップ化させる。選択性タン
グステンの金属層30Bを形成するのが好ましい。VLSI用
のタングステンその他の耐火金属II(Tungsten and Oth
er Refractory Metals for VLSI II)、(会議要旨集、
1986年11月12〜14日)、147〜155ページ所載の「バリア
ン/トレックス式冷壁化学的気相成長反応器中での選択
的タングステン付着(Selective Tungsten Deposition
in a Varian/Torrex Cold Wall CVD Reactor)」と題す
る、フォスター(Foster)等の論文中に論じられている
条件下で、タングステンをシリコン上でだけ成長するよ
うに化学的気相成長させることができる。この開示を引
用により本明細書に組み込む。すなわち、予め窒化物マ
スク40Aによって画定された空隙を埋めるように、タン
グステンを成長させることができる。このような処理で
は、付着させた金属をエッチングして除去する必要はな
い。1つの代案は、まず(チタン/タングステンまたは
窒化チタンなどの)薄い障壁層を付着させ、次いでアル
ミニウムなどの金属を付着させる方法である。障壁層
は、アルミニウムが下にあるポリシリコン部分30Aに食
い込むのを防止する。その後、Ti/W層およびアルミニウ
ム層の共形層90の上にある部分を、通常のフォトレジス
ト・プレーナ化およびエッチバック技法により除去する
ことができる。
F.発明の効果 したがって、本発明の方法は、面積抵抗率が低いゲート
電極ならびにケイ化物のソース電極とドレイン電極を備
えたFETデバイスをもたらす。本発明の方法により、ソ
ース/ドレイン・ドーパンと拡散ステップおよびケイ化
物形成ステップに関連した熱処理ステップを実行してか
ら初めて、タングステン・ポリシリコン複合ゲート電極
のタングステン成分が導入される。したがって、タング
ステンは(通常、温度約600℃でシリコンとの間でケイ
化物を形成するが)、下にあるポリシリコンと反応して
ケイ化物を生じることがなく、このため、複合ゲート電
極の面積抵抗率が大幅に減少する。
本発明のもう一つの利点は、最終構造が、最終ゲート電
極と周囲の共形酸化物層の間で平面状になっていること
である。したがって、形成したデバイス上に後で不動態
化酸化物層を付着させるとき、平面状の上面を形成する
のに不動態化層をリフローさせる必要はない。通常、こ
のリフロー・ステップは温度800〜1000℃で行なう。し
たがって、本発明によれば従来のデバイス製造工程で通
常行なわれる後の熱処理工程が不要となるため、ケイ化
物ゲート生成の危険がさらに減少し、また、複数レベル
の金属を用いる構造に適したFETデバイスがもたらされ
た。
本発明のもう一つの利点は、ポリシリコンの上面にある
金属が2重仕事関数ポリシリコン・ドーパントの相互混
合を起こさせないことである。したがって、本発明によ
り、2重仕事関数のCMOSポリシリコン・ゲート技術と両
立する、面積抵抗率が低いゲート電極がもたらされる。
【図面の簡単な説明】
第1図ないし第6図は、本発明の一連の工程を施される
半導体基板の断面図である。 1……基板、10……絶縁層、15……拡散領域、20……誘
電体層、30……ポリシリコン、40……ケイ化シリコン、
50……フォトマスク、60……側壁スペーサ、70……ソー
ス領域、70A、80A……ケイ化物電極、80……ドレイン領
域、90……共形層、100……ゲート・スタック。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ジエローム・ブレツト・ラスキイ アメリカ合衆国ヴアーモント州エセツク ス・ジヤンクシヨン、マリイ・ロード11番 地 (56)参考文献 特開 昭62−104078(JP,A) 特開 昭61−284963(JP,A)

Claims (4)

    【特許請求の範囲】
  1. 【請求項1】(a)パターン化されたポリシリコン層、
    該ポリシリコン層の上のゲート・マスク及び上記ポリシ
    リコン層の下の絶縁層を有するゲート・スタックを半導
    体基板上に形成する工程と、 (b)上記ゲート・スタックに自己整合したソース領
    域、ドレイン領域及びこれらの領域に対するケイ化物電
    極を形成する工程と、 (c)上記ゲート・スタックの厚さにほぼ等しい厚さを
    有する絶縁層を上記基板上に付着する工程と、 (d)上記ゲート・マスクの上面を露出するように上記
    絶縁層を平坦化する工程と、 (e)上記ゲート・マスクを除去して、上記絶縁物層で
    規定されそして上記ポリシリコン層を露出させる開孔を
    形成する工程と、 (f)上記絶縁物層の上面の高さまで、上記開孔内の露
    出された上記ポリシリコン層上に低抵抗導電材を付着し
    てゲート電極を形成する工程と、 を含むポリシリコン・ゲートFETの形成方法。
  2. 【請求項2】上記工程(a)の前に、上記ゲート・スタ
    ックから離れた位置に、該ゲート・スタックと共に上記
    ソース領域及び上記ドレイン領域を規定する埋め込み分
    離領域をこれの上面が上記半導体基板の上面に一致する
    ように形成する工程を含み、そして上記工程(a)は、
    上記ゲート・スタックの側面に上記ポリシリコン層を覆
    う絶縁側壁を形成する工程を含むことを特徴とする請求
    項(1)記載のポリシリコン・ゲートFETの形成方法。
  3. 【請求項3】上記工程(b)は、 上記ゲート・スタック及び上記分離領域により規定され
    る上記半導体基板の部分にドーパント・イオンを注入す
    る工程と、 上記注入されたドーパントを拡散するように上記基板を
    熱処理する工程と、 上記半導体基板上に耐火金属層を付着する工程と、 上記半導体基板と接触する上記耐火金属層の部分にケイ
    化物を形成させるように上記半導体基板を熱処理する工
    程と、 上記ケイ化物の領域を除去することなく上記耐火金属層
    の残部を除去する工程と、 を含むことを特徴とする請求項2記載のポリシリコン・
    ゲートFETの形成方法。
  4. 【請求項4】上記工程(b)は、 上記半導体基板上に耐火金属を付着する工程と、 上記ゲート・スタック及び上記分離領域により規定され
    る上記半導体基板の部分に接触する上記耐火金属の部分
    に、金属リッチなケイ化物を形成するように上記半導体
    基板を熱処理する工程と、 上記金属リッチなケイ化物を除去することなく上記耐火
    金属層の残部を除去する工程と、 上記金属リッチなケイ化物領域にドーパント・イオンを
    注入する工程と、 上記金属リッチなケイ化物から上記ドーパントを上記半
    導体基板に拡散させ、そして上記金属リッチなケイ化物
    をシリコン・リッチなケイ化物領域に変換するように上
    記半導体基板を熱処理する工程と、 を含むことを特徴とする請求項(2)記載のポリシリコ
    ン・ゲートFETの形成方法。
JP63137585A 1987-08-13 1988-06-06 ポリシリコン・ゲートfetの形成方法 Expired - Lifetime JPH0760899B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US84719 1987-08-13
US07/084,719 US4755478A (en) 1987-08-13 1987-08-13 Method of forming metal-strapped polysilicon gate electrode for FET device

Publications (2)

Publication Number Publication Date
JPH01133368A JPH01133368A (ja) 1989-05-25
JPH0760899B2 true JPH0760899B2 (ja) 1995-06-28

Family

ID=22186794

Family Applications (1)

Application Number Title Priority Date Filing Date
JP63137585A Expired - Lifetime JPH0760899B2 (ja) 1987-08-13 1988-06-06 ポリシリコン・ゲートfetの形成方法

Country Status (4)

Country Link
US (1) US4755478A (ja)
EP (1) EP0303061B1 (ja)
JP (1) JPH0760899B2 (ja)
DE (1) DE3871457D1 (ja)

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4965218A (en) * 1985-10-21 1990-10-23 Itt Corporation Self-aligned gate realignment employing planarizing overetch
US5028555A (en) * 1987-12-02 1991-07-02 Advanced Micro Devices, Inc. Self-aligned semiconductor devices
NL8800222A (nl) * 1988-01-29 1989-08-16 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting waarbij op zelfregistrerende wijze metaalsilicide wordt aangebracht.
US4877755A (en) * 1988-05-31 1989-10-31 Texas Instruments Incorporated Method of forming silicides having different thicknesses
JPH02105466A (ja) * 1988-10-13 1990-04-18 Nec Corp 絶縁ゲート型電界効果トランジスタの製造方法
US4908332A (en) * 1989-05-04 1990-03-13 Industrial Technology Research Institute Process for making metal-polysilicon double-layered gate
US5153145A (en) * 1989-10-17 1992-10-06 At&T Bell Laboratories Fet with gate spacer
EP0459770B1 (en) * 1990-05-31 1995-05-03 Canon Kabushiki Kaisha Method for producing a semiconductor device with gate structure
US5034348A (en) * 1990-08-16 1991-07-23 International Business Machines Corp. Process for forming refractory metal silicide layers of different thicknesses in an integrated circuit
US5086017A (en) * 1991-03-21 1992-02-04 Industrial Technology Research Institute Self aligned silicide process for gate/runner without extra masking
US6964890B1 (en) 1992-03-17 2005-11-15 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for forming the same
TW232751B (en) * 1992-10-09 1994-10-21 Semiconductor Energy Res Co Ltd Semiconductor device and method for forming the same
US6624477B1 (en) 1992-10-09 2003-09-23 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US5268330A (en) * 1992-12-11 1993-12-07 International Business Machines Corporation Process for improving sheet resistance of an integrated circuit device gate
US5275973A (en) * 1993-03-01 1994-01-04 Motorola, Inc. Method for forming metallization in an integrated circuit
JPH07135317A (ja) * 1993-04-22 1995-05-23 Texas Instr Inc <Ti> 自己整合型シリサイドゲート
US5322809A (en) * 1993-05-11 1994-06-21 Texas Instruments Incorporated Self-aligned silicide process
JPH0730125A (ja) * 1993-07-07 1995-01-31 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
US5395799A (en) * 1993-10-04 1995-03-07 At&T Corp. Method of fabricating semiconductor devices having electrodes comprising layers of doped tungsten disilicide
US5480837A (en) * 1994-06-27 1996-01-02 Industrial Technology Research Institute Process of making an integrated circuit having a planar conductive layer
US5814545A (en) * 1995-10-02 1998-09-29 Motorola, Inc. Semiconductor device having a phosphorus doped PECVD film and a method of manufacture
US6393495B1 (en) 1995-11-21 2002-05-21 Diamond Multimedia Systems, Inc. Modular virtualizing device driver architecture
US6009476A (en) * 1995-11-21 1999-12-28 Diamond Multimedia Systems, Inc. Device driver architecture supporting emulation environment
US6289396B1 (en) 1995-11-21 2001-09-11 Diamond Multimedia Systems, Inc. Dynamic programmable mode switching device driver architecture
US5752032A (en) * 1995-11-21 1998-05-12 Diamond Multimedia Systems, Inc. Adaptive device driver using controller hardware sub-element identifier
US6091129A (en) * 1996-06-19 2000-07-18 Cypress Semiconductor Corporation Self-aligned trench isolated structure
US5830797A (en) * 1996-06-20 1998-11-03 Cypress Semiconductor Corporation Interconnect methods and apparatus
US6004874A (en) * 1996-06-26 1999-12-21 Cypress Semiconductor Corporation Method for forming an interconnect
US5911887A (en) * 1996-07-19 1999-06-15 Cypress Semiconductor Corporation Method of etching a bond pad
US5770490A (en) * 1996-08-29 1998-06-23 International Business Machines Corporation Method for producing dual work function CMOS device
US6028339A (en) * 1996-08-29 2000-02-22 International Business Machines Corporation Dual work function CMOS device
US5985768A (en) * 1997-04-30 1999-11-16 International Business Machines Corporation Method of forming a semiconductor
JP3389075B2 (ja) 1997-10-01 2003-03-24 株式会社東芝 半導体装置の製造方法
US6143663A (en) * 1998-01-22 2000-11-07 Cypress Semiconductor Corporation Employing deionized water and an abrasive surface to polish a semiconductor topography
US6200896B1 (en) 1998-01-22 2001-03-13 Cypress Semiconductor Corporation Employing an acidic liquid and an abrasive surface to polish a semiconductor topography
JP3199015B2 (ja) 1998-02-04 2001-08-13 日本電気株式会社 半導体装置及びその製造方法
US7804115B2 (en) * 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6274292B1 (en) 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US6171180B1 (en) 1998-03-31 2001-01-09 Cypress Semiconductor Corporation Planarizing a trench dielectric having an upper surface within a trench spaced below an adjacent polish stop surface
US6232231B1 (en) 1998-08-31 2001-05-15 Cypress Semiconductor Corporation Planarized semiconductor interconnect topography and method for polishing a metal layer to form interconnect
US5972124A (en) 1998-08-31 1999-10-26 Advanced Micro Devices, Inc. Method for cleaning a surface of a dielectric material
US6268282B1 (en) 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6281100B1 (en) 1998-09-03 2001-08-28 Micron Technology, Inc. Semiconductor processing methods
US6093628A (en) * 1998-10-01 2000-07-25 Chartered Semiconductor Manufacturing, Ltd Ultra-low sheet resistance metal/poly-si gate for deep sub-micron CMOS application
US6077750A (en) * 1998-10-27 2000-06-20 Lg Semicon Co., Ltd. Method for forming epitaxial Co self-align silicide for semiconductor device
US6828683B2 (en) * 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US7235499B1 (en) * 1999-01-20 2007-06-26 Micron Technology, Inc. Semiconductor processing methods
US6187675B1 (en) * 1999-06-03 2001-02-13 Advanced Micro Devices, Inc. Method for fabrication of a low resistivity MOSFET gate with thick metal silicide on polysilicon
TW425612B (en) * 1999-06-10 2001-03-11 Nanya Technology Corp Method for producing gate of embedded DRAM
US7067414B1 (en) 1999-09-01 2006-06-27 Micron Technology, Inc. Low k interlevel dielectric layer fabrication methods
US6440860B1 (en) * 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6504210B1 (en) 2000-06-23 2003-01-07 International Business Machines Corporation Fully encapsulated damascene gates for Gigabit DRAMs
KR100380348B1 (ko) * 2001-01-11 2003-04-11 삼성전자주식회사 자기 정렬 콘택의 게이트 스페이서를 형성하는 방법
US6703688B1 (en) 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6830976B2 (en) 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6459562B1 (en) * 2001-05-22 2002-10-01 Conexant Systems, Inc. High density metal insulator metal capacitors
US6888198B1 (en) 2001-06-04 2005-05-03 Advanced Micro Devices, Inc. Straddled gate FDSOI device
US6630720B1 (en) 2001-12-26 2003-10-07 Advanced Micro Devices, Inc. Asymmetric semiconductor device having dual work function gate and method of fabrication
US6830975B2 (en) * 2002-01-31 2004-12-14 Micron Technology, Inc. Method of forming field effect transistor comprising at least one of a conductive metal or metal compound in electrical connection with transistor gate semiconductor material
US6828678B1 (en) 2002-03-29 2004-12-07 Silicon Magnetic Systems Semiconductor topography with a fill material arranged within a plurality of valleys associated with the surface roughness of the metal layer
JP3970682B2 (ja) * 2002-05-17 2007-09-05 株式会社ルネサステクノロジ 半導体装置の製造方法
US6586808B1 (en) 2002-06-06 2003-07-01 Advanced Micro Devices, Inc. Semiconductor device having multi-work function gate electrode and multi-segment gate dielectric
US7615829B2 (en) 2002-06-07 2009-11-10 Amberwave Systems Corporation Elevated source and drain elements for strained-channel heterojuntion field-effect transistors
WO2003105206A1 (en) * 2002-06-10 2003-12-18 Amberwave Systems Corporation Growing source and drain elements by selecive epitaxy
US6982474B2 (en) 2002-06-25 2006-01-03 Amberwave Systems Corporation Reacted conductive gate electrodes
WO2004081982A2 (en) 2003-03-07 2004-09-23 Amberwave Systems Corporation Shallow trench isolation process
US6924184B2 (en) * 2003-03-21 2005-08-02 Freescale Semiconductor, Inc. Semiconductor device and method for forming a semiconductor device using post gate stack planarization
KR100595899B1 (ko) * 2003-12-31 2006-06-30 동부일렉트로닉스 주식회사 이미지 센서 및 그 제조방법
US8546252B2 (en) * 2009-10-05 2013-10-01 International Business Machines Corporation Metal gate FET having reduced threshold voltage roll-off

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3994758A (en) * 1973-03-19 1976-11-30 Nippon Electric Company, Ltd. Method of manufacturing a semiconductor device having closely spaced electrodes by perpendicular projection
US4441247A (en) * 1981-06-29 1984-04-10 Intel Corporation Method of making MOS device by forming self-aligned polysilicon and tungsten composite gate
JPS584924A (ja) * 1981-07-01 1983-01-12 Hitachi Ltd 半導体装置の電極形成方法
JPS5941870A (ja) * 1982-08-25 1984-03-08 Toshiba Corp 半導体装置の製造方法
DE3242113A1 (de) * 1982-11-13 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zur herstellung einer duennen dielektrischen isolation in einem siliciumhalbleiterkoerper
DE3304588A1 (de) * 1983-02-10 1984-08-16 Siemens AG, 1000 Berlin und 8000 München Verfahren zum herstellen von mos-transistoren mit flachen source/drain-gebieten, kurzen kanallaengen und einer selbstjustierten, aus einem metallsilizid bestehenden kontaktierungsebene
US4453306A (en) * 1983-05-27 1984-06-12 At&T Bell Laboratories Fabrication of FETs
FR2555365B1 (fr) * 1983-11-22 1986-08-29 Efcis Procede de fabrication de circuit integre avec connexions de siliciure de tantale et circuit integre realise selon ce procede
US4470874A (en) * 1983-12-15 1984-09-11 International Business Machines Corporation Planarization of multi-level interconnected metallization system
US4587718A (en) * 1984-11-30 1986-05-13 Texas Instruments Incorporated Process for forming TiSi2 layers of differing thicknesses in a single integrated circuit
JPS61284963A (ja) * 1985-06-10 1986-12-15 Nippon Telegr & Teleph Corp <Ntt> 半導体装置とその製造方法
US4648175A (en) * 1985-06-12 1987-03-10 Ncr Corporation Use of selectively deposited tungsten for contact formation and shunting metallization
US4707456A (en) * 1985-09-18 1987-11-17 Advanced Micro Devices, Inc. Method of making a planar structure containing MOS and bipolar transistors
JPS62104078A (ja) * 1985-10-31 1987-05-14 Nec Corp 半導体集積回路装置の製造方法

Also Published As

Publication number Publication date
DE3871457D1 (de) 1992-07-02
JPH01133368A (ja) 1989-05-25
EP0303061A3 (en) 1989-04-26
EP0303061B1 (en) 1992-05-27
EP0303061A2 (en) 1989-02-15
US4755478A (en) 1988-07-05

Similar Documents

Publication Publication Date Title
JPH0760899B2 (ja) ポリシリコン・ゲートfetの形成方法
US5208472A (en) Double spacer salicide MOS device and method
US6329256B1 (en) Self-aligned damascene gate formation with low gate resistance
US5933761A (en) Dual damascene structure and its manufacturing method
US4983544A (en) Silicide bridge contact process
US5677557A (en) Method for forming buried plug contacts on semiconductor integrated circuits
US6153485A (en) Salicide formation on narrow poly lines by pulling back of spacer
US5591672A (en) Annealing of titanium - titanium nitride in contact hole
JP2003519911A (ja) 半導体構造の形成方法
JP2001015753A (ja) 半導体装置およびその製造方法
US6180501B1 (en) Method to fabricate a double-polysilicon gate structure for a sub-quarter micron self-aligned-titanium silicide process
JPH08264531A (ja) 半導体装置及びその製造方法
US6579784B1 (en) Method for forming a metal gate integrated with a source and drain salicide process with oxynitride spacers
US6268272B1 (en) Method of forming gate electrode with titanium polycide
JPH1174508A (ja) 半導体装置及びその製造方法
US20030032206A1 (en) Method of making a ferroelectric memory transistor
JPH05251552A (ja) 半導体装置の製造方法
JP2002110828A (ja) 望ましいゲートプロファイルを有する半導体装置及びその製造方法
US6174762B1 (en) Salicide device with borderless contact
JPH1041412A (ja) 半導体装置およびその製造方法
US5858846A (en) Salicide integration method
JP3963629B2 (ja) 半導体装置及びその製造方法
KR100275739B1 (ko) 역방향 자기정합 구조의 트랜지스터 및 그 제조방법
JP3168992B2 (ja) 半導体装置の製造方法
JP3180951B2 (ja) 半導体記憶装置およびその製造方法