JPH07221093A - 半導体素子のシリコン絶縁膜形成方法 - Google Patents

半導体素子のシリコン絶縁膜形成方法

Info

Publication number
JPH07221093A
JPH07221093A JP6178350A JP17835094A JPH07221093A JP H07221093 A JPH07221093 A JP H07221093A JP 6178350 A JP6178350 A JP 6178350A JP 17835094 A JP17835094 A JP 17835094A JP H07221093 A JPH07221093 A JP H07221093A
Authority
JP
Japan
Prior art keywords
insulating film
silicon insulating
gas
forming
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP6178350A
Other languages
English (en)
Other versions
JP2665316B2 (ja
Inventor
Hyunsang Hwang
ハワング ヒュンサング
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
LG Semicon Co Ltd
Goldstar Electron Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=19376077&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JPH07221093(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by LG Semicon Co Ltd, Goldstar Electron Co Ltd filed Critical LG Semicon Co Ltd
Publication of JPH07221093A publication Critical patent/JPH07221093A/ja
Application granted granted Critical
Publication of JP2665316B2 publication Critical patent/JP2665316B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02249Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by combined oxidation and nitridation performed simultaneously
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Non-Volatile Memory (AREA)

Abstract

(57)【要約】 【目的】適量の窒素を含有しかつ充分な厚さを有する半
導体素子のシリコン絶縁膜形成方法の提供。 【構成】半導体ウエハを熱処理炉内に設置し、炉内温度
を750〜1050℃に維持し、NOガスとO2ガスと
を、それらの供給比率を調節しながら一定時間供給し
て、SiとSiO2との界面に窒素原子を含有させる工
程から成る。また、酸化工程終了後、酸化工程時の温度
よりも高い温度でNOガスのみの雰囲気で熱処理して、
SiとSiO2との上記界面に、より多くの窒素を含有
させる工程から成る。また、炉内温度を約900℃に維
持し、20〜30分間酸化工程を実施して、厚さ約10
0Åのシリコン絶縁膜を形成する工程から成る。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、半導体素子のシリコン
絶縁膜の形成方法に関し、特に熱処理炉内の雰囲気ガス
として従来技術に用いられているO2ガスに代えてNO
ガスとO2ガスとを用い、それらの供給比率を制御する
ことによって、最適厚さの酸化膜を形成すると共にSi
とSiO2との界面に適量の窒素を含有させ、高信頼度
の半導体素子シリコン絶縁膜を形成する方法に関する。
【0002】
【従来の技術】一般に、MOSトランジスタにおいて
は、ゲート電極はソース領域とドレーン領域との間の絶
縁膜上に形成され、ソース領域とドレーン領域との間に
はチャネルが形成されるが、シリコン酸化膜はゲート電
極とチャネル領域とを絶縁する絶縁膜として主に用いら
れている。
【0003】従来技術に於いては、シリコン酸化膜は、
シリコンをO2ガス雰囲気中で酸化することによって形
成されている。しかしながら、本願の発明者が共同発表
者の一人として最近発表したヒュンサング ハワング等
の1990年アプライド フィジィックス レター(App
l.Phys.Lett.)第57巻1010頁及び1990年アイ
イーイーイー アイイーディーエム(IEEE IEDM)421
頁の論文には、N2Oガス雰囲気中においてゲート酸化
膜を成長させた場合には、SiとSiO2との界面に相
当量の窒素を浸透させることが出来、半導体素子、MO
SFETの特性を向上させ得ること、及び、P+多結晶
シリコンゲート電極を用いるMOS素子の場合には、ゲ
ート酸化膜及びチャネル領域への硼素の浸透を抑制する
ことが出来ることが報告されている。
【0004】N2Oガス雰囲気中においてゲート酸化膜
を成長させると半導体素子の信頼性が向上するのは、窒
素がSiとSiO2との界面の歪を部分的に緩和し、電
気的ストレスにより発生する界面におけるトラップの生
成を抑制することが出来るためであると考えられる。
【0005】酸化工程において、O2ガス雰囲気の代わ
りにN2Oガス雰囲気を用いれば、Si界面に容易に窒
素を含有させることが可能である。
【0006】
【発明が解決しようとする課題】しかしながら、N2
ガス雰囲気を用いる上記酸化工程においては、極めて高
温の反応温度が必要であるので、従来の反応温度(約9
50℃)を用いた場合には、形成される酸化膜の厚さは
極めて薄く、また、含有される窒素の量も相対的に少な
くなるという問題がある。
【0007】最近の、ピー ジェイ トビンの1993
年 VLSI テクノロジー シンポジウムの論文の5
1頁(P.J.Tobinn,Symp.on VLS
Itech.,1993,P.51)によれば、950
℃の温度において、N2Oは64.3%のN2と、31%
のO2と、4.7%のNOとに分解される。このとき、
SiとSiO2との界面に侵入する窒素の量は、NOの
量に直接関係する。従って、SiとSiO2との界面に
より急速に適量の窒素を侵入させるためには、NOの量
を増加する必要がある。
【0008】現在までに知られている知識によれば、N
2Oの分解は極めて高温において生じるので、低温にお
いては反応に長時間を要し、それによって得られる窒素
の量も極めて少量となる。一方、温度と時間の積(温度
×時間)の値が大になると、ジャンクションが深く形成
され、次世代素子として実用することは困難となるとい
う問題がある。特に、N2Oの反応は約1050℃の温
度で行われるので、反応過程を急速熱工程で実施する必
要があるが、信頼性と効率に優れた半導体素子を得るこ
とは困難であるという問題がある。
【0009】本発明の目的は、上記従来技術の問題点を
解決するために、N2Oが高温においてN2と、O2と、
NOとに分解されるという事実に基づき、NOガスとO
2ガスの流量をそれぞれ独立に制御して反応炉内に供給
することによって、適量の窒素を含有し、かつ充分な厚
さを有する酸化膜を成長させ得る半導体素子のシリコン
絶縁膜形成方法を提供することにある。
【0010】
【課題を解決するための手段】上記目的を達成するため
に、本発明は、半導体素子のシリコン絶縁膜形成方法に
おいて、シリコン絶縁膜を形成する半導体ウエハを熱処
理炉内に設置して酸化準備工程を終了した後、炉内温度
を750〜1050℃に維持し、NOガスとO2ガスと
を、それらの供給比率を調節しながら一定時間供給し
て、SiとSiO2との界面に窒素原子を含有させる工
程を含んでなることを特徴とする。
【0011】この場合、上記NOガスと上記O2ガスと
の炉内への供給比率を一定にして酸化工程を実施するこ
とを特徴とする。
【0012】またこの場合、上記NOガスと上記O2
スとの炉内への供給比率を、酸化工程の初期には上記O
2ガスの供給比率を高め、酸化工程の末期には上記NO
ガスの供給比率を高めることを特徴とする。
【0013】またこの場合、酸化工程終了後、酸化工程
時の温度よりも高い温度でNOガスのみの雰囲気で熱処
理して、上記Siと上記SiO2との上記界面により多
くの窒素を含有させることを特徴とする。
【0014】またこの場合、炉内温度を約900℃に維
持し、上記NOガスと上記O2ガスとの炉内への供給比
率を一定にして、20〜30分間酸化工程を実施して、
厚さ約100Åのシリコン絶縁膜を形成することを特徴
とする。
【0015】またこの場合、炉内温度を約900℃に維
持し、上記NOガスと上記O2ガスとの炉内への供給比
率を、酸化工程の初期には上記O2ガスの供給比率を高
め、酸化工程の末期には上記NOガスの供給比率を高め
て、20〜30分間酸化工程を実施して、厚さ約100
Åのシリコン絶縁膜を形成することを特徴とする。
【0016】またこの場合、炉内温度を約900℃に維
持し、上記NOガスと上記O2ガスとの供給比率を調節
しながら20〜30分間酸化工程を実施して、厚さ約1
00Åのシリコン絶縁膜を形成した後、900℃よりも
高い温度でNOガスのみの雰囲気で熱処理して、上記S
iと上記SiO2との上記界面により多くの窒素を含有
させることを特徴とする。
【0017】またこの場合、上記シリコン絶縁膜は、M
OSトランジスタのゲート絶縁膜であることを特徴とす
る。
【0018】またこの場合、上記シリコン絶縁膜は、E
PROMセルのトンネリング シリコン絶縁膜であるこ
とを特徴とする。
【0019】またこの場合、上記シリコン絶縁膜は、E
EPROMセルのトンネリング シリコン絶縁膜である
ことを特徴とする。
【0020】またこの場合、、上記シリコン絶縁膜は、
フラッシュ メモリ セルのトンネリング シリコン絶
縁膜であることを特徴とする。
【0021】
【作用】SiとSiO2との界面に相当量の窒素を浸透
させることが出来るので、半導体素子、MOSFETの
特性が向上する。P+多結晶シリコンゲート電極を用い
るMOS素子の場合には、ゲート酸化膜及びチャネル領
域への硼素の浸透を抑制することが出来、素子の信頼性
を向上し、歩留まりが向上する。
【0022】更に、酸化膜の成長温度を低くすることが
出来るので、酸化膜の厚さを容易に調節することが可能
であり、また、各素子の特性に応じて所望量の窒素を含
有させることが出来る。即ち、従来技術に於いては、工
程温度が唯一の変数であったが、本願発明においては、
温度と雰囲気ガスの種類と雰囲気ガスの供給比率とが変
数となるので、工程の最適化が可能となる。
【0023】
【実施例】本発明の実施例を以下に示す。
【0024】最初に、準備工程として、ゲート絶縁膜を
形成する半導体ウエハをCVD(化学蒸着、Chemical V
apor Deposition)装置の反応炉内に設置した後、大気
圧の下で窒素ガスまたはアルゴンガスで反応炉をパージ
ングし、約750〜1050℃の温度に維持する。
【0025】次に、NOガスとO2ガスを供給して酸化
工程を実施する。この際、酸化膜の所望厚さ及びその用
途に応じて、炉内に流入するNOガスとO2ガスの流量
の比を望ましくは100:1〜1:100に制御する。
【0026】厚さ約100Åのゲート酸化膜を得るため
には、約900℃の温度で、約20〜30分間酸化工程
を実施する。
【0027】酸化膜の厚さを増すためには、O2ガスの
供給比率を高め、また、酸化膜の窒素含有量を増すため
には、NOガスの供給比率を高める。
【0028】SiとSiO2との界面に含まれる窒素原
子の数を増すためには、酸化初期にはO2ガスの比率比
率を高め、酸化末期にはNOガスの供給比率を高めて、
比較的厚い酸化膜を低温で形成して、所望量の窒素をそ
の中に含有させることが可能となる。
【0029】SiとSiO2との界面に含まれる窒素の
量を増すためには、酸化工程後に、幾分高い温度でNO
ガスの供給比率を高めて熱処理する。
【0030】上記実施例においては、シリコン絶縁膜を
形成する方法についてのみ説明したが、本方法は、ゲー
ト絶縁膜が必要なMOSFETの製造時にも活用するこ
とが出来、EPROM(再書き込み可能プログラム可能
呼出し専用メモリ、ErasableProgrammable Read Only M
emory)、EEPROM(電気的に再書き込み可能プロ
グラム可能呼出し専用メモリ、Electrically Erasable
Programmable Read Only Memory)、フラッシュメモリ
(Flash Memory)等の非揮発性素子のトンネリング酸化
膜の形成に応用した場合には良好な特性上の効果が得ら
れる。
【0031】これらEPROM、EEPROM、フラッ
シュメモリ等の製造方法は既に広く知られているのでそ
の説明は省略するが、これらの素子の絶縁膜は、ゲート
酸化膜またはトンネリング酸化膜の形成における従来の
方法に代えて、本発明の方法によって形成することが可
能である。
【0032】図1(1)は、酸化時間の経過による酸化
膜の成長厚さを示すグラフである。950℃以下の温度
で酸化工程を施した場合に、N2Oガスのみの雰囲気中
で酸化する従来技術に於いては、図の曲線(A)に示す
ように酸化膜は比較的ゆっくり成長する。一方、NOガ
スとO2ガスの雰囲気中で酸化する本願発明の方法にお
いては、図の曲線(B)に示すように酸化膜は急速に成
長する。
【0033】図1(2)は、工程温度と、シリコン基板
及びシリコン酸化膜に含まれる窒素濃度との関係を示す
グラフである。N2Oガスのみの雰囲気中で酸化する従
来技術に於いては、図の曲線(C)に示すように、比較
的低い窒素含有濃度となる。一方、NOガスとO2ガス
の雰囲気中で酸化する本願発明の方法においては、図の
曲線(D)に示すように、著しく高い窒素含有濃度とな
る。
【0034】本願発明は、シリコン酸化膜を持つMOS
FETの製造工程に利用することが出来る。また、MO
SFETを持つ全ての製品に応用可能であり、特に、非
揮発性素子であるEPROM、EEPROM、フラッシ
ュメモリセル等のトンネリング酸化膜の形成に応用する
と、素子の寿命を著しく向上することが出来る上記実施
例においては、説明を簡単にするために、数例の実施例
についてのみ言及したが、上記説明した概念の変更ある
いは修正は、特許請求の範囲に記載した本願発明の範囲
内にあることはいうまでもない。
【0035】
【発明の効果】上記説明した本願発明の半導体素子のシ
リコン絶縁膜形成方法によって形成された絶縁膜が素子
の特性に及ぼす効果は、上記言及した論文において公開
された効果と類似である。即ち、SiとSiO2との界
面に相当量の窒素を浸透させることが出来るので、半導
体素子、MOSFETの特性が向上するという効果があ
る。また、P+多結晶シリコンゲート電極を用いるMO
S素子の場合には、ゲート酸化膜及びチャネル領域への
硼素の浸透を抑制することが出来るので、素子の信頼性
を向上し、製作歩留まりが向上するという効果がある。
【0036】更に、本願発明の半導体素子のシリコン絶
縁膜形成方法が、上記論文で言及されている方法よりも
優れている点は、酸化膜の成長温度を低くすることがで
きるので、酸化膜の厚さを容易に調節することが可能で
あり、また、各素子の特性に応じて所望量の窒素を含有
させることが可能であるという点である。即ち、従来技
術に於いては、工程温度が唯一の変数であったが、本願
発明においては、温度と雰囲気ガスの種類と雰囲気ガス
の供給比率とが変数となるので、工程の最適化が可能と
なるという効果がある。
【図面の簡単な説明】
【図1】(1)は酸化時間と酸化膜の成長厚さとの関係
を示すグラフ、(2)は工程温度と、シリコン基板及び
酸化シリコンに含有される窒素濃度との関係を示すグラ
フある。

Claims (11)

    【特許請求の範囲】
  1. 【請求項1】半導体素子のシリコン絶縁膜形成方法にお
    いて、シリコン絶縁膜を形成する半導体ウエハを熱処理
    炉内に設置して酸化準備工程を終了した後、炉内温度を
    750〜1050℃に維持し、NOガスとO2ガスと
    を、それらの供給比率を調節しながら一定時間供給し
    て、SiとSiO2との界面に窒素原子を含有させる工
    程を含んでなることを特徴とする半導体素子のシリコン
    絶縁膜形成方法。
  2. 【請求項2】請求項1に記載の半導体素子のシリコン絶
    縁膜形成方法において、上記NOガスと上記O2ガスと
    の炉内への供給比率を一定にして酸化工程を実施するこ
    とを特徴とする半導体素子のシリコン絶縁膜形成方法。
  3. 【請求項3】請求項1に記載の半導体素子のシリコン絶
    縁膜形成方法において、上記NOガスと上記O2ガスと
    の炉内への供給比率を、酸化工程の初期には上記O2
    スの供給比率を高め、酸化工程の末期には上記NOガス
    の供給比率を高めることを特徴とする半導体素子のシリ
    コン絶縁膜形成方法。
  4. 【請求項4】請求項1に記載の半導体素子のシリコン絶
    縁膜形成方法において、酸化工程終了後、酸化工程時の
    温度よりも高い温度でNOガスのみの雰囲気で熱処理し
    て、上記Siと上記SiO2との上記界面により多くの
    窒素を含有させることを特徴とする半導体素子のシリコ
    ン絶縁膜形成方法。
  5. 【請求項5】請求項1に記載の半導体素子のシリコン絶
    縁膜形成方法において、炉内温度を約900℃に維持
    し、上記NOガスと上記O2ガスとの炉内への供給比率
    を一定にして、20〜30分間酸化工程を実施して、厚
    さ約100Åのシリコン絶縁膜を形成することを特徴と
    する半導体素子のシリコン絶縁膜形成方法。
  6. 【請求項6】請求項1に記載の半導体素子のシリコン絶
    縁膜形成方法において、炉内温度を約900℃に維持
    し、上記NOガスと上記O2ガスとの炉内への供給比率
    を、酸化工程の初期には上記O2ガスの供給比率を高
    め、酸化工程の末期には上記NOガスの供給比率を高め
    て、20〜30分間酸化工程を実施して、厚さ約100
    Åのシリコン絶縁膜を形成することを特徴とする半導体
    素子のシリコン絶縁膜形成方法。
  7. 【請求項7】請求項1に記載の半導体素子のシリコン絶
    縁膜形成方法において、炉内温度を約900℃に維持
    し、上記NOガスと上記O2ガスとの供給比率を調節し
    ながら20〜30分間酸化工程を実施して、厚さ約10
    0Åのシリコン絶縁膜を形成した後、900℃よりも高
    い温度でNOガスのみの雰囲気で熱処理して、上記Si
    と上記SiO2との上記界面により多くの窒素を含有さ
    せることを特徴とする半導体素子のシリコン絶縁膜形成
    方法。
  8. 【請求項8】請求項1に記載の半導体素子のシリコン絶
    縁膜形成方法において、上記シリコン絶縁膜は、MOS
    トランジスタのゲート絶縁膜であることを特徴とする半
    導体素子のシリコン絶縁膜形成方法。
  9. 【請求項9】請求項1に記載の半導体素子のシリコン絶
    縁膜形成方法において、上記シリコン絶縁膜は、EPR
    OMセルのトンネリング シリコン絶縁膜であることを
    特徴とする半導体素子のシリコン絶縁膜形成方法。
  10. 【請求項10】請求項1に記載の半導体素子のシリコン
    絶縁膜形成方法において、上記シリコン絶縁膜は、EE
    PROMセルのトンネリング シリコン絶縁膜であるこ
    とを特徴とする半導体素子のシリコン絶縁膜形成方法。
  11. 【請求項11】請求項1に記載の半導体素子のシリコン
    絶縁膜形成方法において、上記シリコン絶縁膜は、フラ
    ッシュ メモリ セルのトンネリング シリコン絶縁膜
    であることを特徴とする半導体素子のシリコン絶縁膜形
    成方法。
JP6178350A 1994-01-22 1994-07-29 半導体素子のシリコン絶縁膜形成方法 Expired - Fee Related JP2665316B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1019940001148A KR970009863B1 (ko) 1994-01-22 1994-01-22 반도체 소자의 실리콘절연막형성방법
KR1994-1148 1994-01-22

Publications (2)

Publication Number Publication Date
JPH07221093A true JPH07221093A (ja) 1995-08-18
JP2665316B2 JP2665316B2 (ja) 1997-10-22

Family

ID=19376077

Family Applications (1)

Application Number Title Priority Date Filing Date
JP6178350A Expired - Fee Related JP2665316B2 (ja) 1994-01-22 1994-07-29 半導体素子のシリコン絶縁膜形成方法

Country Status (4)

Country Link
US (1) US5512519A (ja)
JP (1) JP2665316B2 (ja)
KR (1) KR970009863B1 (ja)
DE (1) DE4428911A1 (ja)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0844668A3 (en) * 1996-11-25 1999-02-03 Matsushita Electronics Corporation MOS structure of semiconductor device and method of manufacturing the same
WO1998027580A1 (en) * 1996-12-03 1998-06-25 Scott Specialty Gases, Inc. Process for forming ultrathin oxynitride layers and thin layer devices containing ultrathin oxynitride layers
US5821172A (en) * 1997-01-06 1998-10-13 Advanced Micro Devices, Inc. Oxynitride GTE dielectrics using NH3 gas
JP3949211B2 (ja) * 1997-03-06 2007-07-25 富士通株式会社 半導体装置の製造方法
WO1998045877A1 (en) * 1997-04-07 1998-10-15 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device having 'shallow trench isolation'
KR19990003490A (ko) * 1997-06-25 1999-01-15 김영환 반도체 소자의 산화막 형성방법
JP2000091289A (ja) * 1998-09-10 2000-03-31 Hitachi Ltd 半導体集積回路装置の製造方法
FR2783530B1 (fr) * 1998-09-21 2001-08-31 Commissariat Energie Atomique Procede de preparation, par nitruration, d'un substrat de silicium pour la formation d'une couche isolante mince
US6190973B1 (en) 1998-12-18 2001-02-20 Zilog Inc. Method of fabricating a high quality thin oxide
KR100281135B1 (ko) * 1999-02-22 2001-02-01 김영환 반도체 소자의 게이트 산화막 형성 방법
US6407008B1 (en) * 2000-05-05 2002-06-18 Integrated Device Technology, Inc. Method of forming an oxide layer
US20040147169A1 (en) 2003-01-28 2004-07-29 Allison Jeffrey W. Power connector with safety feature
CN101882718B (zh) 2003-12-31 2012-11-21 Fci公司 电源触头及包括电源触头的连接器
US7384289B2 (en) * 2005-01-31 2008-06-10 Fci Americas Technology, Inc. Surface-mount connector
US7635655B2 (en) * 2006-03-30 2009-12-22 Tokyo Electron Limited Method for replacing a nitrous oxide based oxidation process with a nitric oxide based oxidation process for substrate processing
US7726982B2 (en) 2006-06-15 2010-06-01 Fci Americas Technology, Inc. Electrical connectors with air-circulation features
KR100757333B1 (ko) 2006-10-12 2007-09-11 삼성전자주식회사 불휘발성 메모리 장치의 제조 방법
US7641500B2 (en) * 2007-04-04 2010-01-05 Fci Americas Technology, Inc. Power cable connector system
US7905731B2 (en) * 2007-05-21 2011-03-15 Fci Americas Technology, Inc. Electrical connector with stress-distribution features
US7762857B2 (en) * 2007-10-01 2010-07-27 Fci Americas Technology, Inc. Power connectors with contact-retention features
US8062051B2 (en) * 2008-07-29 2011-11-22 Fci Americas Technology Llc Electrical communication system having latching and strain relief features
US8323049B2 (en) 2009-01-30 2012-12-04 Fci Americas Technology Llc Electrical connector having power contacts
USD619099S1 (en) 2009-01-30 2010-07-06 Fci Americas Technology, Inc. Electrical connector
US8366485B2 (en) 2009-03-19 2013-02-05 Fci Americas Technology Llc Electrical connector having ribbed ground plate
USD618180S1 (en) 2009-04-03 2010-06-22 Fci Americas Technology, Inc. Asymmetrical electrical connector
USD618181S1 (en) 2009-04-03 2010-06-22 Fci Americas Technology, Inc. Asymmetrical electrical connector
EP2624034A1 (en) 2012-01-31 2013-08-07 Fci Dismountable optical coupling device
USD727268S1 (en) 2012-04-13 2015-04-21 Fci Americas Technology Llc Vertical electrical connector
US9257778B2 (en) 2012-04-13 2016-02-09 Fci Americas Technology High speed electrical connector
USD727852S1 (en) 2012-04-13 2015-04-28 Fci Americas Technology Llc Ground shield for a right angle electrical connector
USD718253S1 (en) 2012-04-13 2014-11-25 Fci Americas Technology Llc Electrical cable connector
US8944831B2 (en) 2012-04-13 2015-02-03 Fci Americas Technology Llc Electrical connector having ribbed ground plate with engagement members
US9543703B2 (en) 2012-07-11 2017-01-10 Fci Americas Technology Llc Electrical connector with reduced stack height
USD751507S1 (en) 2012-07-11 2016-03-15 Fci Americas Technology Llc Electrical connector
USD745852S1 (en) 2013-01-25 2015-12-22 Fci Americas Technology Llc Electrical connector
USD720698S1 (en) 2013-03-15 2015-01-06 Fci Americas Technology Llc Electrical cable connector

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6435954A (en) * 1987-07-31 1989-02-07 Hitachi Ltd Integrated circuit device
JPH04245636A (ja) * 1991-01-31 1992-09-02 Oki Electric Ind Co Ltd 絶縁膜形成方法
JPH05166799A (ja) * 1991-12-12 1993-07-02 Oki Electric Ind Co Ltd 酸窒化膜層の絶縁膜形成方法
JPH05198574A (ja) * 1991-03-15 1993-08-06 Oki Electric Ind Co Ltd 絶縁膜形成方法

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63239200A (ja) * 1987-03-27 1988-10-05 Shin Etsu Handotai Co Ltd シリコンウエ−ハ強化方法
US5244843A (en) * 1991-12-17 1993-09-14 Intel Corporation Process for forming a thin oxide layer
US5397720A (en) * 1994-01-07 1995-03-14 The Regents Of The University Of Texas System Method of making MOS transistor having improved oxynitride dielectric

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6435954A (en) * 1987-07-31 1989-02-07 Hitachi Ltd Integrated circuit device
JPH04245636A (ja) * 1991-01-31 1992-09-02 Oki Electric Ind Co Ltd 絶縁膜形成方法
JPH05198574A (ja) * 1991-03-15 1993-08-06 Oki Electric Ind Co Ltd 絶縁膜形成方法
JPH05166799A (ja) * 1991-12-12 1993-07-02 Oki Electric Ind Co Ltd 酸窒化膜層の絶縁膜形成方法

Also Published As

Publication number Publication date
JP2665316B2 (ja) 1997-10-22
US5512519A (en) 1996-04-30
KR970009863B1 (ko) 1997-06-18
DE4428911A1 (de) 1995-07-27
KR950024281A (ko) 1995-08-21

Similar Documents

Publication Publication Date Title
JPH07221093A (ja) 半導体素子のシリコン絶縁膜形成方法
JP4340830B2 (ja) 半導体装置のゲート絶縁膜形成方法
US6884685B2 (en) Radical oxidation and/or nitridation during metal oxide layer deposition process
US20040043583A1 (en) Method of forming nanocrystals in a memory device
JP2001502115A (ja) 信頼できる極薄酸窒化物形成のための新規なプロセス
JPH1027908A (ja) コバルトシリサイドの薄い層が形成されるデバイスの作製プロセス
JP2007053227A (ja) 半導体素子およびその製造方法
JP2001024194A (ja) 半導体装置の製造方法及び半導体装置
US8183573B2 (en) Process for forming an interface between silicon carbide and silicon oxide with low density of states
WO1987005152A1 (en) Fabrication of solid-state devices having thin dielectric layers
KR20040014978A (ko) 탄화규소 금속-산화물 반도체 전계 효과 트랜지스터에서반전 층 이동도의 개선 방법
JP2002217317A (ja) 不揮発性半導体記憶装置およびその製造方法
JP2002510438A (ja) 複合si/sigeゲートを持つ半導体装置における相互拡散の制限方法
JPH1131691A (ja) 炭化けい素半導体装置の熱酸化膜形成方法
JP4563016B2 (ja) シリコン基板の複合面に酸化膜を形成する方法
JPS6364063B2 (ja)
CN114023633A (zh) 碳化硅器件的栅氧化层的制备方法与碳化硅器件
KR100650715B1 (ko) 반도체 소자의 콘택 플러그 형성방법
JPS6170763A (ja) 半導体記憶装置の製造方法
JPH11261065A (ja) シリコンゲートfetの製造方法
JP2718931B2 (ja) 半導体記憶装置の製造方法
JP2002170892A (ja) 積層型ゲート酸化膜構造の製造方法
JP3461819B2 (ja) 半導体結晶膜の製造方法
JP2842088B2 (ja) ゲート絶縁膜の製造方法
JP2003528443A5 (ja)

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080620

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090620

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100620

Year of fee payment: 13

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110620

Year of fee payment: 14

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120620

Year of fee payment: 15

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130620

Year of fee payment: 16

LAPS Cancellation because of no payment of annual fees