JPH069221B2 - 半導体素子の形成方法 - Google Patents

半導体素子の形成方法

Info

Publication number
JPH069221B2
JPH069221B2 JP23584889A JP23584889A JPH069221B2 JP H069221 B2 JPH069221 B2 JP H069221B2 JP 23584889 A JP23584889 A JP 23584889A JP 23584889 A JP23584889 A JP 23584889A JP H069221 B2 JPH069221 B2 JP H069221B2
Authority
JP
Japan
Prior art keywords
exposed
substrate
forming
etching
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP23584889A
Other languages
English (en)
Other versions
JPH02117153A (ja
Inventor
ジヨン・エドワード・クローニン
スザーン・フランシス・クローニン
カーター・ウエリング・カンタ
チヤールズ・ウイリアム・コーバーガー、サード
ステイブン・エリンウツド・リユース
デイル・ジヨナーサン・パーソン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH02117153A publication Critical patent/JPH02117153A/ja
Publication of JPH069221B2 publication Critical patent/JPH069221B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)

Description

【発明の詳細な説明】 A.産業上の利用分野 本発明は、広くフォトリソグラフィによる半導体素子の
製造に関し、特に半導体素子の各領域をつなぐ不要な電
気接点をなくす方法に関する。
B.従来技術 半導体素子の形成には、基板上で素子の各領域間に必要
な電気接点を設けるとともに、素子の他の領域間の接触
を防ぐことも必要である。このため、たとえばフォトレ
ジストやマスキングが用いられる。ここで電気接点を形
成するため露出される領域はフォトレジストにパターン
化され、パターン化されたフォトレジストを現像するこ
とで下地に必要な領域が露出される。普通この方法で
は、全工程を終えるためマスクを数枚連続して供給する
必要があり、その間、各マスクと前に露光・現像された
部分との正確な位置決めを要する。これにより、最終的
に所要領域だけが露出され、他の領域は保護されるか、
または別にマスキングを要する。しかし、技術が発達
し、素子の小型化に対応できるようになって、積み重ね
(オーバレイ)の許容差を正確に維持するのがますます
困難になっている。その結果、マスク間にわずかな位置
ずれがあっても、領域の中で覆うべき細かい部分すなわ
ち“境界”まで露出する。ここから、電気接点は、たと
えば金属の重ね蒸着によって、所要箇所だけでなく、不
要箇所の露出した境界部分をも接続されることになる。
C.発明が解決しようとする問題点 本発明の主要目的は、フォトリソグラフィによる半導体
素子の製造に適用でき、同一材料(ポリシリコンなど)
の露出した領域がマスクで選択的に覆われ、選択的にマ
スクされた領域との不要な接続を防ぎ、境界のない、い
わゆるボーダーレス接点を設ける方法を提供することに
ある。
D.問題点を解決するための手段 本発明によれば、不動態材料によって被覆された少なく
とも2つの露出導電領域が存在するような半導体デバイ
ス素子を形成するための方法が提供される。この不動態
材料は、所定のエッチング剤にさらされる。そして、そ
の領域の全部ではない一部の領域が、好適には導電性で
ある材料で覆われ、これがまた、好適には、追加的な導
電性または半導電性領域を被覆する。その後、全ての領
域が、上記所定のエッチング剤にさらされるが、上記不
動態材料がエッチング抵抗性材料で覆われていない領域
のみが除去される。好適には、この時点で、導電性材料
の層が、全ての領域上に付着される。
E.実施例 以下、各図について説明する。まず第1A図ないし第1
F図は、本発明の実施例の工程を示す概略図である。第
1A図は代表的な半導体製造工程を示す。ここでシリコ
ン基板10には、不純物拡散すなわちドーピングの領域
12、13と、シリコン基板上に形成される半導体素子
のゲート電極になる導電層14、15(ポリシリコンが
望ましい)が形成されている。導電層14、15は電気
絶縁層(被覆層)16で覆われている。この絶縁層は酸
化物、窒化物などである。被覆層の形成工程は次のよう
である。ポリシリコン層のパターンがフォトレジストと
酸化物または窒化物のマスクによって形成される。ポリ
シリコンがエッチングされると、マスクと下地のポリシ
リコンだけが残る。CVD酸化物蒸着法とRIEエッチ
ング法(ここで説明している方法)により、側壁の絶縁
酸化物が形成される。これらはよく知られた工程であ
る。チップ前面を覆うのが耐エッチング(不動態)層1
8である。これは酸化アルミニウムなどである。この層
は反応性スパッタリングによって形成できる。耐エッチ
ング層18のそれぞれにガラス・リフローによる平滑化
層20が形成される。層20の上にフォトレジスト22
がある。フォトレジストは従来のようにマスクを通して
露光されて、現像後に開口24、25が形成されてい
る。フォトレジストにパターンを形成するためのマスク
は、理想的な条件下では大きさ形状とも正確であり、正
確に位置決めされて、導電層14と拡散領域12が露出
され、導電層15は覆われたまま残る。しかし上述のよ
うに、常にこのような状態が得られるとは限らない。第
1A図ないし第1F図は、導電層15がどのように保護
され、導電層14と拡散領域12がどのように露出され
て電気接点が形成されるかを示す。
開口24、25で露出したガラス層20は、低圧(たと
えば約100ミリTorr以下)のCHF+CO
たはCHなどの雰囲気中で反応性イオン・エッチング
によってエッチングされる。エッチングは、第1B図に
示すように、耐エッチング層18まで進行する。露出し
た耐エッチング層18は、第1C図に示すように、低圧
(たとえば100ミリTorr以下)のBCl中で反
応性イオン・エッチングによって除去される。これによ
り、第1C図に示すように、導電層14の一部を覆う絶
縁層16の一部とともに、導電層15の一端と拡散領域
12の一部を覆う絶縁層も露出される。ここでは導電層
14と拡散層の領域12で電気接点を形成し、導電層1
5は電気的に絶縁状態に保つのが望ましい。このために
は、この後の工程で、第1D図に26と示すように、初
めにタングステンなどの導電材を、露出した拡散領域1
2に選択的に被着・成長させればよい。タングステンを
選択的に被着・成長させるには、次のような方法を用い
るのがよい。すなわち、500℃以下のWFとH
ガスを使った低圧(たとえば200ミリTorr以下)
のタングステンCVD蒸着法である。
タングステンは拡散領域12に選択的に蒸着され、第1
D図のように露出した導体15の被覆部を完全に覆うよ
うに成長する。ここでタングステン26は拡散領域12
に対して電気接点をなすが、上に絶縁層16が形成され
た導電層15とは電気的に絶縁される。タングステン2
6は、導電層15の上の絶縁層16が露出するのも防
ぐ。これは次の工程で重要な点である。
次の工程で、導電層14を覆う露出した絶縁層16は、
重ねエッチングによって除去され、導電層14の表面が
露出し、第1E図のようになる。エッチングは、先に述
べたように層20を除去する工程と同じ工程がよい。
ここで必要に応じて導電層14が露出される。拡散領域
12はタングステン26で覆われている。導電層15
は、重ねエッチング中にタングステン26があるため除
去されずに残った絶縁層16によって電気的に絶縁され
る。次に、第1F図に示すように、金属28を重ね蒸着
でき、導電層14と拡散領域12の電気接点が得られ
る。これは従来法により、アルミニウム、アルミニウム
合金、その他所望の金属をスパッタリングすることで得
られる。アルミニウムは蒸着後、従来法によりマスクさ
れ、パターンの形成とエッチングを経て導電層が形成さ
れる。
本発明のもう一つの実施例を第2A図ないし第2E図に
示す。第2A図でシリコン基板30には拡散領域32、
34、36がある。ポリシリコンの導電層38、40は
シリコン基板30上に蒸着される。これらに重なるガラ
ス層42にはパターンが形成されてフォトレジストによ
ってエッチングされており、第2A図のように開口4
4、46、48を持つ、この場合、拡散領域32、34
の電気接点と導電層40を設け、導電層38の電気接点
は防ぐ必要がある。ここでも導電層38の一部が露出す
るのは望ましくないが、マスクの位置ずれによって生じ
るものである。
この実施例で熱酸化物50は、拡散領域32、34上、
および導電層38、40上に成長する。これは酸素雰囲
気中で800℃以下の加熱炉を用いて行われる。この実
施例は、熱酸化物は、ポリシリコン層38、40上では
拡散領域32、34(それぞれ単結晶)上でよりも3倍
速く成長するという事実に依っている。その結果得られ
る構造を第2B図に示す。加熱成長した酸化物は反応性
イオン・エッチング(RIE)で処理され、エッチング
は、第2C図に示すように、酸化物が拡散領域32、3
4から完全に除去された後、ポリシリコン層38、40
から除去される前に終わる。RIEに適した工程は、上
述のように層20のエッチング工程と同じである。
これ以降の処理は上述の例と同じである。タングステン
などの金属52は選択的に蒸着され、拡散領域32、3
4上に成長する。第2Dに示すように、タングステンが
拡散領域34に成長することで、導電層38の端部が覆
われ、タングステンは絶縁酸化物50によってこの層か
ら絶縁されるが、導電層を覆う酸化物50上には成長し
ない。導電層40を覆う酸化物50は、上述のように層
20の除去に用いられた重ねRIEエッチングによって
除去される。これは、第2E図に示すように、タングス
テンによって保護される導電層38の端部を覆う酸化層
50に影響しない。次に、アルミニウムなどの金属が、
上述のようにスパッタリングによって重ね蒸着される。
その結果を第2F図に示す。これにより、導体34、4
0、32が接続され、導体38は保護される。
以上、本発明について詳述したが、その適用範囲内でこ
れを様々に変更することも可能である。
F.発明の要約 本発明は、半導体素子を基板材料上に形成する方法を改
良するものであり、ここで材料の少なくとも2つの領域
が電気的に接続され、これらの領域とは別に、前記材料
の少なくとも1つと同じ材料の少なくとも1つの領域が
電気的に絶縁される。領域はすべて、所定のエッチング
剤によってエッチングできる絶縁材で覆われ、この後、
電気的に絶縁されたまま残る領域が、エッチング剤の影
響を受けない付加材で覆われる。次に、全体にエッチン
グ剤が適用され、絶縁材が露出した各部の付加材が除去
されるが、付加材によって覆われた各部の絶縁材は除去
されない。
G.発明の効果 上述のように、本発明は、フォトリソグラフィによる半
導体素子の製造に適用でき、ポリシリコンなど同一材料
の露出領域を選択的にマスクして、選択的にマスクされ
た領域との不要な接触を防ぐことで、いわゆるボーダー
レス接点を形成する方法を提供するものである。
【図面の簡単な説明】
第1A図ないし第1F図は、本発明により、半導体チッ
プ上の所要領域を選択的に保護する工程の流れを示す多
少簡略化した断面図である。 第2A図ないし第2F図は、本発明の実施例である第1
A図ないし第1F図に似た工程の流れを示す多少簡略化
した断面図である。 12、13・・・拡散領域、14、15・・・導電層、
16・・・絶縁層、18・・・耐エッチング層、26・
・・金属(タングステン)。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 カーター・ウエリング・カンタ アメリカ合衆国ヴアーモント州コルチエス ター、グランドビユー・ロード(番地な し) (72)発明者 チヤールズ・ウイリアム・コーバーガー、 サード アメリカ合衆国ヴアーモント州エセツク ス・ジヤンクシヨン、ビツクスバイ・ヒ ル・ロード20番地 (72)発明者 ステイブン・エリンウツド・リユース アメリカ合衆国ヴアーモント州カンブリツ ヂ、ボツクス580、アール・アール1番地 (72)発明者 デイル・ジヨナーサン・パーソン アメリカ合衆国ニユーヨーク州カーメル、 ハートン・ロード、アール・デイー11番地

Claims (2)

    【特許請求の範囲】
  1. 【請求項1】基板材料上に半導体素子を形成し、所定エ
    ッチング剤によってエッチングされる不動態材料によっ
    て少なくとも2つの露出領域が覆われる方法であって、 前記露出領域のすべてではなく所定の一領域の少なくと
    も一部に、エッチング剤の影響を受けない材料を選択的
    に蒸着し、この後、全領域に前記エッチング剤を適用
    し、これによって前記の耐エッチング剤のない前記領域
    だけをエッチングする工程を有する、半導体素子の形成
    方法。
  2. 【請求項2】半導体基板の露出面上に複数のゲート電極
    が位置し、複数のゲート電極はそれぞれ側壁が絶縁スペ
    ーサによって、上面が絶縁キャップによってそれぞれ覆
    われる前記半導体基板にボーダーレス接点を形成する方
    法であって、 (a)基板の露出面上に不動態層を形成する工程と、 (b)前記不動態層の所定部分をエッチングして、複数
    のゲート電極のうち所定電極の絶縁キャップと、複数の
    ゲート電極のそれぞれに隣接する基板領域とを露出させ
    る工程と、 (c)前記基板の露出領域と、隣接するゲート電極上の
    絶縁キャップ上に第1の導体を選択的に形成する工程
    と、 (d)基板をエッチング剤で処理することで、前記第1
    導体を大きくエッチングせずに露出した絶縁キャップを
    除去して、複数のゲート電極のうち前記所定電極を露出
    させる工程と、 (e)第2の導体を前記の複数の電極のうち所定電極上
    に形成する工程を有する、 半導体素子の形成方法。
JP23584889A 1988-10-07 1989-09-13 半導体素子の形成方法 Expired - Lifetime JPH069221B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US25463388A 1988-10-07 1988-10-07
US254633 1988-10-07

Publications (2)

Publication Number Publication Date
JPH02117153A JPH02117153A (ja) 1990-05-01
JPH069221B2 true JPH069221B2 (ja) 1994-02-02

Family

ID=22965021

Family Applications (1)

Application Number Title Priority Date Filing Date
JP23584889A Expired - Lifetime JPH069221B2 (ja) 1988-10-07 1989-09-13 半導体素子の形成方法

Country Status (2)

Country Link
EP (1) EP0362571A3 (ja)
JP (1) JPH069221B2 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5010039A (en) * 1989-05-15 1991-04-23 Ku San Mei Method of forming contacts to a semiconductor device
TW368731B (en) * 1997-12-22 1999-09-01 United Microelectronics Corp Manufacturing method for self-aligned local-interconnect and contact
US6245651B1 (en) * 2000-01-12 2001-06-12 Intenational Business Machines Corporation Method of simultaneously forming a line interconnect and a borderless contact to diffusion
US8563425B2 (en) * 2009-06-01 2013-10-22 Advanced Micro Devices Selective local interconnect to gate in a self aligned local interconnect process
US10453749B2 (en) * 2017-02-14 2019-10-22 Tokyo Electron Limited Method of forming a self-aligned contact using selective SiO2 deposition

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3174638D1 (en) * 1980-10-29 1986-06-19 Fairchild Camera Instr Co A method of fabricating a self-aligned integrated circuit structure using differential oxide growth
US4764484A (en) * 1987-10-08 1988-08-16 Standard Microsystems Corporation Method for fabricating self-aligned, conformal metallization of semiconductor wafer

Also Published As

Publication number Publication date
EP0362571A3 (en) 1990-11-28
EP0362571A2 (en) 1990-04-11
JPH02117153A (ja) 1990-05-01

Similar Documents

Publication Publication Date Title
US4944682A (en) Method of forming borderless contacts
US4997790A (en) Process for forming a self-aligned contact structure
US6498096B2 (en) Borderless contact to diffusion with respect to gate conductor and methods for fabricating
KR100604708B1 (ko) 반도체 부품 제조 방법
JP2000077625A5 (ja)
US5866947A (en) Post tungsten etch bank anneal, to improve aluminum step coverage
JPH0817930A (ja) エッチング・ストップ層を利用する半導体装置構造とその方法
KR100277377B1 (ko) 콘택트홀/스루홀의형성방법
US6103623A (en) Method for fabricating a tungsten plug structure and an overlying interconnect metal structure without a tungsten etch back or CMP procedure
JPH069221B2 (ja) 半導体素子の形成方法
JPH0770595B2 (ja) 半導体素子の金属コンタクト形成方法
US6200892B1 (en) Method for forming an integrated circuit interconnect using a dual poly process
JPH10163430A (ja) 半導体装置およびその製造方法
KR100269617B1 (ko) 반도체소자의 제조 방법
KR100461331B1 (ko) 반도체소자의도전배선형성방법
KR100235960B1 (ko) 반도체소자의 도전 라인 형성방법
US5565381A (en) Method of removing sharp edges in a dielectric coating located above a semiconductor substrate and a semiconductor device formed by this method
KR100340860B1 (ko) 반도체 소자의 콘택 플러그 제조 방법
KR100314738B1 (ko) 반도체소자의게이트전극형성방법
KR100261993B1 (ko) 메탈라인을 이용한 캐패시터 제조방법
KR0147636B1 (ko) 얇은 접합을 보호하는 배선 구조를 가지는 반도체 장치 및 그 제조방법
KR100240613B1 (ko) 반도체장치의 배선들의 접촉 방법
KR100226252B1 (ko) 반도체 소자 및 그의 제조방법
JPH04303925A (ja) 半導体装置の製造方法
JPS6297331A (ja) 半導体装置の製造方法