JPH0654781B2 - 集積回路構造体の製造方法 - Google Patents

集積回路構造体の製造方法

Info

Publication number
JPH0654781B2
JPH0654781B2 JP63233857A JP23385788A JPH0654781B2 JP H0654781 B2 JPH0654781 B2 JP H0654781B2 JP 63233857 A JP63233857 A JP 63233857A JP 23385788 A JP23385788 A JP 23385788A JP H0654781 B2 JPH0654781 B2 JP H0654781B2
Authority
JP
Japan
Prior art keywords
polycrystalline silicon
layer
silicon
etching
insulating layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP63233857A
Other languages
English (en)
Other versions
JPH021132A (ja
Inventor
ボルフガング・ユーン
デイター・ハグマン
ハンス‐ヨーキム・トランプ
Original Assignee
インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン filed Critical インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン
Publication of JPH021132A publication Critical patent/JPH021132A/ja
Publication of JPH0654781B2 publication Critical patent/JPH0654781B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32105Oxidation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/90MOSFET type gate sidewall insulating spacer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/947Subphotolithographic processing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist
    • Y10S438/95Multilayer mask including nonradiation sensitive layer

Description

【発明の詳細な説明】 A.産業上の利用分野 本発明は、ゲート長がサブミクロン級の電界効果トラン
ジスタ・デバイスを有する半導体集積回路構造体を製造
する方法に関する。
B.従来技術及びその問題点 今日の集積回路技術では、標準フォトリソグラフィ法を
使って約0.5μm程度の線幅を得、電子ビームやX線
リソグラフィなどのより複雑な技法の使用を避けること
が望ましい。最近、集積回路の分野で、チャンネルが高
度に制御されたサブミクロン級の電界効果トランジスタ
を製造する方法を開発するために、大変な努力が払われ
てきている。こうした研究成果の例は、米国特許第42
09349号、第4209350号、第4234362
号、第4256514号、第4502914号明細書に
記載されている。これらの特許は、すべてシリコン本体
上にほぼ水平な面とほぼ垂直な面を形成させ、次いでこ
のほぼ水平な面とほぼ垂直な面の両方の上に非常に狭い
寸法の層を形成させるものである。次いで、この層に反
応性イオン・エッチングなどの異方性エッチング工程を
施し、垂直層をほとんど無傷のままに残して水平層をほ
とんど除去する。付着される層のもとの厚さに応じて、
垂直な層の寸法が調整される。このようにして、サブミ
クロン級の長さの電界効果トランジスタのゲートなど狭
い寸法の領域を得ることができる。
また別の手法では、3層のレジスト系を使用し、フォト
リソグラフィまたは電子線リソグラフィにより電界効果
トランジスタのゲート電極を画定する。この方法では
(たとえば、PCT出願、WO−A−80/00639
号を参照のこと)、後でその一部分がゲート電極となる
多結晶シリコン層の表面に、比較的厚い底部ポリマ層、
すなわちレジスト層を付着させる。ベーキング・ステッ
プのあと、室温で化学的気相成長法により二酸化シリコ
ンまたは窒化シリコンの中間バリア層を付着させる。最
上層は、高感度のポジ型フォトレジスト層であり、露光
または電子ビーム照射及び現像によって所期のゲート電
極のパターンを層内に生成させる。CF4を用いたプラズ
マ・エッチングにより、最上層レジストから二酸化シリ
コンまたは窒化シリコンへのパターン転写を行なう。酸
素反応性イオン・エッチング法を用いて、そのパターン
を底部ポリマ層、すなわちレジスト層に転写する。この
手法によると、二酸化シリコン/窒化シリコン・バリア
層を特定量だけ横バイアス・エッチングするために、比
較的高圧で底部レジスト層を酸素エッチングする。その
結果、最上層レジスト層の最初の線幅に対して線幅バイ
アスだけ縮小された最下層フォトレジスト・バーが得ら
れ、それが次の多結晶シリコン反応性イオン・エッチン
グ・ステップ用のフォトレジスト・マスクとして働く。
フォトレジスト・バーの基部は厳密に画定されず、その
幅がウエハ中心から縁部までかなりの範囲で差が出るこ
とがわかっているので、このステップは寸法制御が不十
分である。さらに、酸化物や窒化物がオーバーハングす
るため、横方向の酸素エッチング速度が時間に関して一
定でなく、幾何形状により平均線幅バイアスが制限され
る。
したがって、集積してメモリや論理回路で有用な集積回
路構造にすることができる、チャンネルが短く、高度に
寸法制御された電界効果トランジスタを製造する方法を
提供することが望ましい。
集積回路の分野では、酸化及び酸化部分の除去により、
多孔性シリコンまたは多結晶シリコンの線寸法を減少さ
せる努力が払われてきた。1つの方法が、H.B.ポッ
ジ(Pogge)、「線幅の狭いマスキング法(Narrow Line-Wi
dth Masking Method)」、IBMテクニカル・ディスク
ロージャ・ブルテン(Technical Disclosure Bulleti
n)、1976年11月、第19巻、第6号、pp.20
57−2058に記載されている。この方法は、多孔性
シリコンを用い、続いてこの多孔性シリコンを酸化する
ものである。その他の方法は、E.バスー(Bassous)、
「サブミクロン級シリコン・デバイスの製造法(Fabrica
ting Submicrometer Silicon Devices)」、IBMテク
ニカル・ディスクロージャ・ブルテン、1972年11
月、第15巻、第6号、pp.1823−1825;
S.A.アッバス(Abbas)等、「フォトリソグラフィ集
積回路製造処理の最小寸法の拡大(Extending the Minim
al Dimensions of Photolithographic Integrated-Circ
uit Fabrication Processing)」、同誌、1977年9
月、第20巻、第4号、pp.1376−1378;
E.バスー等、「高度に制御可能なサブミクロン級の有
効チャンネル長を有する高性能IGFET構造の製造方
法(Method of Fabricating High-Performance IGFET St
ructures with Highly Controllable Submicron Effect
ive Channel Lengths)」、同誌、1979年5月、第2
1巻、第12号、pp.5035−5038に記載され
ている。これらの発表論文は、すべてまず酸化シリコン
や窒化シリコンなど酸化ブロック材の中間マスクを用い
てマスクにした多結晶シリコン・マスキング材を使用
し、多結晶シリコンを熱酸化して線幅を減少させるもの
である。2ミクロン未満の線寸法を得ることも可能であ
る。
これらの技法はすべて、未ドープのまたはその場で(i
n situ)ドープした多結晶シリコンを使用してお
り、下にあるシリコンが多結晶構造であるため、多結晶
シリコン上での熱酸化物の成長が容易に制御できないか
または一様でないことがわかっているので、酸化が難し
い。したがって、ゲート電極の、したがって下にあるト
ランジスタ・チャンネルのクリティカルな長さの制御が
悪くなる。さらに、酸化ブロック材のマスク(キャッ
プ)の厚さは、従来、約100nm程度またはそれ以上
であり、このようなキャップを除去するために湿式エッ
チング・ステップが必要であった。
C.問題点を解決するための手段 基板内部の各半導体領域を互いに分離する表面分離パタ
ーンが半導体基板内に形成される。本発明に基づく、サ
ブミクロン級の長さのデバイス素子を有する半導体集積
回路構造の製造方法を記載する。これらの半導体領域に
は、後でデバイスを設けることになる。半導体基板の表
面上に、後でその一部分がゲート誘電体層となる第1の
絶縁化合物層を形成させる。この絶縁化合物層上に、多
結晶シリコン層を付着させる。イオン注入により、多結
晶シリコン層を高濃度にドープさせ、約850℃以下で
アニールさせる。多結晶シリコン層を乾式エッチングし
て、側壁角が約90度±2度の範囲にある多結晶シリコ
ン部分をあとに残す。多結晶シリコン部分を熱酸化さ
せ、熱酸化物を除去して、あとに厚さと長さが減少した
サブミクロン級の多結晶シリコン部分を残す。次いで、
サブミクロン級の制御された長さを有する多結晶シリコ
ン部分をマスクとして使って、イオン注入法などによっ
て、半導体基板に所期のパターンのPN接合部を形成す
る。多結晶シリコン部分の側方の寸法だけを減少させた
い場合には、多結晶シリコン層上に第2の絶縁層(保護
層)を付着させる。この第2の絶縁層ならびに多結晶シ
リコン層を、乾式エッチングによってパターン付けす
る。多結晶シリコン部分の上に残った第2の絶縁層のキ
ャップが表面酸化を防止するが、それらの部分の側方酸
化は許すのである。
前段に記載した方法は、集積回路構造でサブミクロン級
のゲート長の電界効果トランジスタ・デバイスを製作す
るのに、特に適している。この場合、厚さ約4ないし6
nmの酸化シリコンと約11ないし15nmの窒化シリ
コンと約1.0ないし1.5nmの二酸化シリコンの組
合せで第1の絶縁化合物層を形成することが好ましい。
多結晶シリコン層の厚さは、約350ないし650nm
の範囲である。この層のドーピングは、約1ないし4×
1016/cm2の範囲のドーズ量で約40ないし80Ke
Vで、リンまたはヒ素のイオン注入によって行なうこと
が好ましい。イオン注入のあと、約800℃で多結晶シ
リコン層をアニールして、その上部のリンまたはヒ素の
濃度をより高くする。SF約6ないし8体積%、Cl
2ないし3体積%、残りをHeとするエッチング・
ガス混合物を用いた反応性イオン・エッチングにより、
約0.1ないし0.3W/cm2という比較的低い電力密
度で、ほぼ垂直な側壁を有する多結晶シリコン部分のパ
ターンを形成することが好ましい。多結晶シリコン層中
のドーピング材料が高濃度であると、以前使用されてい
た温度、すなわち約800℃よりも低い温度で、この層
の熱酸化が可能となる。また、この高濃度により、二酸
化シリコンの制御しやすい一様な熱成長も可能となる。
本発明の方法は、多結晶シリコン層を使用して高いイオ
ンドーズ量で注入し、約800ないし850℃でアニー
ルし、後で従来よりも短時間で、下層となるこの多結晶
シリコン層上に極めて一様な熱酸化物を成長させること
により、従来技術のこれらの欠点を軽減するものであ
る。多結晶シリコン層上の酸化ブロック材の厚さは、現
在、数nm程度にすぎず、その除去には非常に短時間の
乾式エッチング・ステップで十分である。
上記方法を図面に即して説明すると、半導体基板(1
0)中に、後でデバイスを設けることになる領域を画定
する表面分離パターン(12)を形成させる。半導体基
板上に、後でその一部分がゲート誘電体となる第1の絶
縁化合物層(16a、b、c)を形成する。次に、上記
化合物層(20)に多結晶シリコン層を付着させる。リ
ン・イオン注入法により、多結晶シリコン層(20)を
高濃度にドープし、約850℃以下でアニールする。フ
ォトリソグラフィ及び乾式エッチングにより、多結晶シ
リコン部分を画定する。SF/Cl/He中で約
0.1ないし0.3W/cm2という低い電力密度で乾式
エッチングを行なう。多結晶シリコン層(20)の残り
の部分に約800℃の温度で熱酸化を施す。この熱酸化
の間に制御可能な量の多結晶シリコンが消費される。熱
成長させた酸化物(26)を除去した後、長さ及び厚さ
が所期の量だけ減少した多結晶シリコン部分が得られ
る。
多結晶シリコン部分(20)の長さと厚さの両方ではな
く、長さだけを減少させたい場合には、酸化中、これら
の部分の垂直面をキャップで保護しなければならない。
キャップは、二酸化シリコン応力除去層上に配列された
厚さ数nmの窒化シリコン層から構成できる。
この方法は、電界効果トランジスタのサブミクロン級の
長さのゲート電極を形成するのに、特に有用である。
D.実施例 次に具体的に第1A図、第1B図ないし第7図を参照す
ると、高密度集積回路構造中にサブミクロン級のチャン
ネル長をもつ電界効果トランジスタを製造する2つの実
施例が示されている。図にはNチャンネルMOSFET
集積回路を製作するプロセスが示されているが、その代
わりに、トランジスタ及び関連領域の様々な素子の極性
を単に逆転させるだけで、これらの実施例により、Pチ
ャンネルFETを製作することもできることは明白であ
る。
最初の一連のステップは、第1A図及び第1B図を参照
すればわかるように、P<100>結晶配向シリコン
基板(10)中で各単結晶シリコン領域を他の単結晶シ
リコン領域から分離する絶縁領域を形成するものであ
る。分離は、二酸化シリコン、ガラス、ポリイミドなど
の材料を単独でまたは組み合わせて使用する部分的絶縁
層分離とすることが好ましい。好ましい部分的絶縁層分
離のパターン(12)で、単結晶シリコン表面領域を画
定し、最終的にはFETデバイスがその領域内に形成さ
れる。このタイプの絶縁層分離領域を形成する方法は、
当技術分野では多数存在する。たとえば、米国特許第4
104086号及び第4471523号明細書に、絶縁
層分離領域を形成するための詳細なプロセスが記載され
ている。絶縁層分離領域(12)の下に反転層が形成さ
れ、その結果、分離した単結晶領域間に電気漏洩が生じ
るのを防止するために、通常、分離領域(12)の下に
領域(14)を形成する。
まずシリコン基板(10)の表面を熱酸化して、その上
に二酸化シリコン層(図示せず)を形成することによ
り、埋設絶縁層分離領域(12)及びP領域(14)
を形成することができる。次いで、化学的気相成長法に
より、その上に窒化シリコン層(図示せず)を付着させ
る。通常のリングラフィ及びエッチング技法により、窒
化シリコン層の分離領域中の希望の場所に開口部を形成
させる。窒化シリコン層の開口中の二酸化シリコン層を
通してホウ素イオンを注入することにより、P領域
(14)が形成される。窒化シリコン層は、ウエハの表
面を覆う残りの領域へのホウ素イオンの浸透に対して有
効なマスクを形成する。次いで、埋設酸化領域(12)
を形成するのに充分な時間、ウエハを酸化環境に置くこ
とにより、埋設酸化物分離領域(12)を成長させる。
次にシリコン・ウエハの表面から窒化シリコン層及び二
酸化シリコン層を除去する。こうして、半導体シリコン
基板内に、基板内の半導体領域を互いに分離する表面分
離パターンが形成される。
シリコン本体(10)の表面上に、絶縁層(16)を形
成する。この層は、後でその一部分がシリコン基板表面
上のゲート誘電体層となる、熱成長二酸化シリコンでよ
い。別法として、この層は、二酸化シリコン、窒化シリ
コン、窒化二酸化シリコン、Nイオンを注入した二酸
化シリコン、または多結晶三酸化アルミニウム、あるい
はこれらの組合せから構成することもできる。これらの
材料の層厚は、次に行なう熱酸化によって、あまり変化
しない。本発明によれば、絶縁層は、二酸化シリコン
(16a)と窒化シリコン(16b)と二酸化シリコン
(16c)の組合せで形成される。個々の層の厚さは、
たとえば、二酸化シリコン層(16a)4ないし6n
m、窒化シリコン層(16b)11ないし15nm、二
酸化シリコン層(16c)1.0ないし1.5nmの組
合せから成る。窒化シリコン層16b及び二酸化シリコ
ン層16cは、表面絶縁パターン(12)を有する表面
全体、及び二酸化シリコン層(16a)の上を覆ってい
る。二酸化シリコン層は、約800℃の温度、酸素また
は酸素/水蒸気の雰囲気中で、熱成長させるのが好まし
い。二酸化シリコンを成長させる第2の方法は、SiH4
びO2を使って大気圧または低圧条件の下で約450℃で
SiO2を付着させるという化学的気相成長法である。窒化
シリコン層は、通常、SiH4、NH3及びN2キャリア・ガス
を使って大気圧または低圧条件で約800℃の温度で化
学的気相成長法により形成する。
電界効果トランジスタを形成すべきP-基板(10)の表
面伝導度を調節する。たとえば、約7KeVで約1.2×
1012/cm2のドーズ量のホウ素イオン注入を使用し
て、閾値Vtを調節し、表面P領域(18)を形成させ
る。
次に、二酸化シリコン/窒化シリコン/二酸化シリコン
複合層(16a、b、c)の表面全体の上に、多結晶シ
リコン層(20)を付着させる。多結晶シリコン層は、
約600℃ないし650℃の温度範囲、好ましくは約6
25℃で、水素雰囲気中でシランを使用して付着させ
る。多結晶シリコン層の有効厚さは、約350ないし6
50nmであり、430nmが好ましい。多結晶シリコ
ン層は、絶縁化合物層(16)の上に形成されているの
で、シリコン本体(10)と電気に接触しない。次い
で、リンまたはヒ素のイオン注入及び加熱プロセスによ
り、多結晶シリコン層(20)の表面全体をドープす
る。
ドーピング材の多結晶シリコン中での溶解度により、多
結晶シリコンのその場での(in situ)ドーピン
グが制限され、かつこのドーピング材の一様な分布によ
り多結晶シリコンの酸化速度に対する局部的影響が防止
されるものの、イオン注入ドーピングにより、ドーピン
グ材の濃度が溶解度の限度をはるかに超える。酸化物の
一様性及び多結晶シリコンの酸化速度は、ドーピング材
の濃度に大いに依存し、この濃度が増大するにつれて酸
化速度は増加する。後の酸化継続時間をできるだけ短く
することが望ましいので、高濃度のドーピング材が好ま
しい。したがって、本発明によれば、約40ないし80
KeVで、約1ないし4×1016/cm2の範囲のリン・
イオンのドーズ量で、多結晶シリコン量(20)をドー
プする。注入に続いて、ウエハをN2中で、約800ない
し850℃の温度範囲で約1時間アニールする。このア
ニール処理により、多結晶シリコン層中のリン・イオン
の分布は、この層の上部でリン濃度が高くなるようにな
る。たとえば、厚さ約430nmの多結晶シリコン層で
測定されたリン濃度プロフィルは、上から1/4の部分
で層の残りの部分の約2倍のリン・イオン濃度を示す。
この濃度プロフィルは、注入エネルギー及びアニール温
度に依存するが、後の酸化及びエッチング・プロセスに
とって重量である。たとえば、約900ないし1000
℃というさらに高い温度でアニールを行なう場合、注入
したリン・イオンの完全な再分配が起こり、多結晶シリ
コン層(20)中の濃度がどこでも一定になる。
本実施の好ましい実施例(第2B図)では、多結晶シリ
コン層(20)上に、二酸化シリコン/窒化シリコンの
二重層を形成し、後でそれを利用して多結晶シリコンの
一部分を画定する。この二重層はまた、それらの部分の
表面酸化を防止するが、側方酸化は許す。上記のような
プロセス条件を用いて、多結晶シリコン層(20)上に
二酸化シリコン層(第1B図の22a)を化学的気相成
長法によって熱成長、すなわち付着させ、二酸化シリコ
ン層(22a)上に、窒化シリコン層(22b)を化学
的気相成長法によって付着させる。これらの層の厚さ
は、たとえば、二酸化シリコンが5ないし8nmで、窒
化シリコンが4ないし6nmである。
別法として、リンの他に窒素も含有するイオンの注入に
より、多結晶シリコン層(20)上にこの層のドーピン
グを行なうのと同じステップで絶縁層(22)を形成す
ることができる。たとえば、PN2 +などの化学種を2.
1×1016/cm2程度のドーズ量で50KeVで注入し
て、続いてN2 +中で約850℃、1時間アニール・ステ
ップを行なう。アニール中に、リン・イオンが多結晶シ
リコン層(20)全体に分配され、窒化イオンはこの層
の表面に移動して、その上に表面窒化物層(22)を形
成する。分子窒素イオン(N +)注入法の機構につい
ての詳細は、たとえば、W.J.M.J.ジョスキン(J
osquin)等、J.Electrochem,Soc.、
第129巻、第8号、1982年8月、pp.1803
に出ている。
制御可能なサブミクロン級の長さとほぼ垂直な側壁を有
する多結晶シリコン層(20)の残りの部分を形成する
プロセスは、第2、3、4図を参照すると、より良く理
解することができる。
標準のフォトリソグラフィ・プロセスを用いて、多結晶
シリコン層(20)上にフォトレジスト・マスク(第2
A、B図の24)を製作する。このプロセスで、多結晶
シリコン層(20)の表面に、フォトレジストを約1μ
mの厚さで付着させる。この目的には、様々の既知のポ
ジ型フォトレジストが適している。たとえば、フェノー
ルホルムアルデヒド樹脂とベンゾフェノン・ナフトキノ
ン・ジアジド増感剤をベースとするシプレー社(Shipley
Comp.)のAZ1450Jフォトレジストや、フェノー
ル樹脂及び増感剤として1−オキソ−2−ジアゾナフタ
レン・スルホン酸と非対称第1または第2脂肪族ジオー
ルのビスエステルをベースとする、米国特許第4397
937号に記載のTNSフォトレジストがある。波長4
36nmで露光させ、続いてN2中で約95ないし105
℃の温度範囲で約30分間焼成し、水酸化テトラメチル
アンモニウムをベースとする水性AZ現像剤中で現像を
行なうという、周知の紫外露光手段により、AZフォト
レジスト層のパターン付けを行なう。
別法として、3層レジスト系を使ってフォトレジスト・
マスクを作成することもできる。このプロセスでは、多
結晶シリコン層(20)の表面に、厚さ約1ないし3μ
mのポリマ層すなわちレジスト層(24a)を付着させ
た後、約210℃で約30分間焼成を行なう。次いで、
室温でのプラズマ増強化学的気相成長法により、厚さ
0.1ないし0.2μmの窒化シリコン中間マスキング
層(24b)を付着させる。最上層(24c)は厚さ
0.2ないし1μmの高感度ポジ型フォトレジスト層で
あり、その中に上記のように所期のパターンが形成され
る。サブミクロメータ級のパターンについては、電子ビ
ームが用いられる。約66.5マイクロバールのCF4
用いたプラズマ・エッチングにより、最上層パターンか
ら窒化シリコン(24b)へのパターン転写を実施す
る。酸素反応性イオン・エッチング・プロセスを用い
て、パターンを最下部のポリマ層すなわちレジスト層に
転写する。圧力約5マイクロバール、高周波電力密度が
0.1W/cm2の場合、エッチング速度は毎分70nm
となる。この3層プロセス段階では、フォトレジスト・
マスク製作中の許容差が減少するため、厳密に垂直なフ
ォトレジスト側壁が得られる。
次に、多結晶シリコン層(20)のエッチングを続け
て、FETチャンネル・デバイスとなる領域を横切って
広がるサブミクロン級の長さの部分を生じさせる。この
ような部分の1つを第3A図に示す。このエッチング・
ステップは、SF/Cl/He中での反応性イオン
・エッチングなど異方性のものである。先にCFエッ
チング・ステップを実施して、多結晶シリコンから自然
酸化物を除去しておくこともできる。
テスト結果によれば、これらのデバイス領域を覆う制御
可能な量の多結晶シリコン部分を除去することによって
FETチャンネル長を減少させるプロセスが効を奏する
のは、異方性エッチングにより、側壁角度Yが約90度
±2度の範囲にある多結晶シリコン部分を得ることがで
きる場合だけである。側壁角度がこれより小さい場合
(たとえばY=85°の場合)には、多結晶シリコン側
壁の下端において二酸化シリコン/窒化シリコン複合ゲ
ート(16b、16c)中にトレンチが形成され、この
ためデバイスは役に立たなくなる。したがって、多結晶
シリコンと窒化シリコン及び二酸化シリコンとの間で良
好な選択性をもたらし、90度の角を生成するエッチン
グ・プロセスを開発しなければならなかった。多結晶シ
リコンと窒化シリコンの間で約15:1の選択性をもた
らし、複合ゲートの窒化シリコンを貫通するエッチング
及び多結晶シリコン側壁の下端での上記のトレンチ生成
を避けるために、低い電力密度、好ましくは終点まで約
0.1ないし0.3W/cm2、、終点からは0.05W
/cm2未満の電力密度で反応性イオン・エッチングを行
なって、約15%の過剰エッチングを行なわせなければ
ならない。エッチングの終点は、レーザ干渉を用いて決
定する。
1つの例では、次のようなパラメータを用いて、フォト
レジスト・マスク(24)または(第2A図、第2B図
の24a)によって露光した領域で、多結晶シリコン層
(20)をエッチングする。
上記に示したエッチング・パラメータは、使用する装置
に応じて補正しなければならないことに注意されたい。
さらに、上記プロセスのいくつかの変形を実行して、手
順を最適化し、製造プロセスを簡単にすることができ
る。
酸素プラズマ中での等方性ストリッピングにより、残っ
たフォトレジスト・エッチング用マスクを除去すると、
垂直な多結晶シリコン側壁を有する第3A図の構造が残
る。
上記の好ましい実施例に基づく多結晶シリコン層(2
0)上に配列した二酸化シリコン/窒化シリコン二重層
(第1B図の22a、22b)のエッチングは、多結晶
シリコンのエッチングとは異なるエッチング・ガスを用
いて行なわなければならない。このエッチング・ステッ
プもCFプラズマ中での反応性イオン・エッチングで
ある。1つの例では、次のようなパラメータを用いて、
上記のようにして作成した第2B図のフォトレジスト・
マスク(24)によって露光した領域で、二重層をエッ
チングする。
エッチング・ガス CF 流量(sccm/分) 15 圧力(マイクロバール) 50 エッチング時間(分) 2 電力(W) 250 電力密度(W/cm2) 0.25 このエッチング・ステップで、自然酸化物も多結晶シリ
コン表面から除去される。次いで、エッチング・ガスと
してSF/Cl/Heを使用し、上記のパラメータ
を用いて、同じチェンバ中で多結晶シリコン層(20)
をエッチングする。酸素プラズマ中でフォトレジスト・
マスクを除去すると、垂直な多結晶シリコン側壁を有す
る第3B図の構造が残る。
続いて、多結晶シリコン層(20)の残った部分の酸化
を行なう。それには、第3A図の構造全体に、消費され
る多結晶シリコンの量が制御可能な、通常の熱酸化を施
す。その結果を第4A図に示す。多結晶シリコンを酸化
して二酸化シリコンにする場合、形成される酸化物の厚
さは反応したシリコンの厚さの約2倍である。
テスト結果によれば、多結晶シリコン中のドーピング材
濃度が高いので、この材料を水/酸素雰囲気中で、約8
00℃またはそれ以下の温度範囲で比較的短時間(4な
いし7時間)熱酸化して、本発明によるプロセスで必要
とされる数100nmの厚さの酸化物を得ることが可能
である。こうした条件のもとで、チャンネルのドーピン
グ・プロフィルが有害な影響を受けることはない。多結
晶シリコン層(20)の上部でのリンまたはヒ素イオン
の濃度は下部の濃度と異なるので、イオン濃度に応じて
この層の酸化速度に局部的な影響を及ぼすことも可能で
ある。未ドープのまたはその場でドープしたドーピング
の分布が一様な多結晶シリコンの酸化は、当該の多結晶
シリコン部分の側壁の上端と下端での酸化速度が異な
り、上端に突起(角状突起)が形成されるので、通常、
制御が難しい。この角状突起の形成を抑制するために、
多結晶シリコン層(20)の上部では、リンまたはヒ素
イオンの濃度をより高く選んで、この部分の酸化速度が
増大させる。
続いて、窒化シリコン層(16b)をエッチング障壁と
して使って、濃フッ化水素酸中でのプラズマ・エッチン
グまたは湿式エッチングなどによって、多結晶シリコン
部分(20)の表面全体から熱酸化物(26)を除去す
る。熱成長させた酸化物(26)を除去すると、長さ及
び厚さの寸法が所期の量だけ減少した多結晶シリコン部
分(第5A図の20)が得られる。
1つの例(第4A図)では、長さ0.9μm、厚さ0.
45μmの多結晶シリコン層(20)の一部分の上に、
約800℃で二酸化シリコンを厚さ約333nmに熱成
長させる。濃フッ化水素酸で酸化物を除去すると、長さ
0.60μm、厚さ0.30μmの構造が得られる。約
4時間以内に、必要な厚さの酸化物が成長した。多結晶
シリコン層(20)をその分だけより厚く付着させるこ
とにより、多結晶シリコン部分の厚さの減少を補償す
る。
多結晶シリコン部分(20)の厚さでなく長さだけ減少
させたい場合には、酸化中にキャップ(第3B図の22
a、22b)によってこれらの部分の水平表面を保護し
なければならない。従来技術によると、このキャップは
層厚が約100nmの窒化シリコンとすることができ
る。ただし、窒化物キャップを、エッチングによって熱
酸化した二酸化シリコンと一緒に完全に除去するには、
本発明の窒化物層の最大厚さは4ないし6nmを超えて
はならない。厚さがこのように薄いため、多結晶シリコ
ン部分の側方酸化中に発生する応力によって窒化シリコ
ン層が破壊され、これらの部分の水平表面の酸化が防止
できなくなることが判明した。したがって、多結晶シリ
コン層と窒化シリコン層の間に、酸化中の窒化シリコン
層の破壊を有効に防止する、二酸化シリコンからなる厚
さ約5ないし8nmの応力除去層を配置する。以上第1
B図を参照しながら二酸化シリコン層(22a)及び窒
化シリコン層(22b)を付着させる工程段階について
説明してきた。第4B図に示すように、この構造に通常
の熱酸化を施す場合、二酸化シリコン/窒化シリコン/
キャップ(22a、22b)の下で横方向に制御可能な
量の多結晶シリコン部分(20)が消費され、二酸化シ
リコン部分(26)を生成する。二酸化シリコン部分を
形成する際に多結晶シリコンが消費されるため、多結晶
シリコン部分(20)の長さは、それぞれ約0.72μ
mまたは0.75μmから約0.29μmまたは0.3
3μmにまで減少することが可能である。最後に、エッ
チング法により、二酸化シリコン部分(26)及び二酸
化シリコン/窒化シリコン・キャップ(22a、22
b)を同時に除去すると、横方向寸法が必要なだけ狭く
なった多結晶シリコン部分(第5B図の20)が残る。
続いて、多結晶シリコン部分(20)をFETデバイス
のゲート電極にする。露光した複合ゲート誘電体二酸化
シリコン/窒化シリコン/二酸化シリコン(16a、
b、c)をエッチングによって除去した後、ゲート電極
に隣接してリンまたはヒ素ドーパントの注入または拡散
を行なって、電界効果トランジスタ・デバイス用の所期
のPN接合ソース/ドレイン素子を形成することがで
き、また複合ゲート誘電体をそのまま残しておいて、こ
の複合層を貫通できる適当なエネルギーのイオン注入に
より、デバイス領域にNソース/ドレイン領域を形成
させることもできる。イオン注入法の1つの例では、導
電性を付与する不純物として2.0×1015イオン/cm
2のヒ素を80KeVで用い、深さ0.4μmのPN接
合を形成させる。この工程段階の好ましい実施例では、
このような領域を形成させる前に、化学的気相成長させ
た共形二酸化シリコンまたは類似の絶縁層(図示せず)
をデバイス構造全体の上に形成させる。このような絶縁
層を減圧下で化学的気相成長法によって、作成するため
の好ましい1方法(ヨーロッパ特許出願第861045
961号)では、シリコン及び酸素のソースとしてオル
トケイ酸テトラエチル(TEOS)を使用し、それを加
熱した基板を横切る方向に向ける。異方性エッチングを
適用して、水平方向の共形な化学的気相成長層を除去
し、多結晶シリコン部分(20)上にスペーサの側壁二
酸化シリコン層(28)を残す。スペーサ(28)は第
6図に示す。導電性を付与する不純物、通常はリンまた
はヒ素のイオン注入が、基板中に破線(30)で示され
ている。次の酸化及びアニール・ステップで第7図に示
すように、破線(30)から直線(32)まで、ソース
/ドレイン領域を完全に形成させる。第7図にはまた、
リンけい酸ガラス絶縁層(34)及びデバイスの各種要
素に対する金属性電気接点(36)を形成した結果も示
されている。多結晶シリコン層(20)の最上部にポリ
シド層(図示せず)を形成して、その面積抵抗率を下げ
ることも実行可能である。ポリシド層の異方性エッチン
グに関する詳細は、たとえばL.エプラフ(Epraph)、I
EE電子デバイス紀要(IEEE Transactions on Electron
Devices)、ED−28巻、第11号、1981年11
月、pp.1315−1319に記載されている。
E.発明の効果 本発明によれば、高度に寸法制御されたサブミクロン級
のゲート長を持つFET素子を含む集積回路製造するこ
とができる。
【図面の簡単な説明】
第1〜5図のA、第6図及び第7図は、本発明のサブミ
クロン級のチャンネル長をもつ電界効果トランジスタを
形成するプロセスを示す概略図である。 第1〜5図のBは、第1〜5図のAの実施例の修正を示
す図である。 10……シリコン本体、P基板、12……表面分離パ
ターン、凹んだ絶縁層分離領域、14……P領域、1
6……分離複合層、16a、16c……二酸化シリコン
絶縁層、16b……窒化シリコン絶縁層、16b、16
c……二酸化シリコン/窒化シリコン複合ゲート、18
……表面P領域、20……多結晶シリコン層、22……
表面絶縁層、22a……二酸化シリコン層、22b……
窒化シリコン層、24……フォトレジスト・マスク、2
4a……最下部ポリマまたはレジスト層、24b……窒
化シリコン中間マスキング層、24c……ポジ型フォト
レジスト最上層、26……熱成長酸化物、28……スペ
ーサ。
───────────────────────────────────────────────────── フロントページの続き (56)参考文献 特開 昭54−25173(JP,A) 特開 昭61−104669(JP,A)

Claims (3)

    【特許請求の範囲】
  1. 【請求項1】(a)FET素子の収容領域を確定すると
    ともに該領域同士を隔離する表面絶縁パターンを半導体
    基板に形成し、 (b)上記半導体基板上に絶縁層を形成し、 (c)上記絶縁層上に多結晶シリコン層を付着し、 (d)所定のイオン注入エネルギーでドーピング元素の
    イオン注入を行い上記多結晶シリコン層をドープした
    後、約850℃以下の温度でアニールし、表面近傍部分
    で上記ドーピング元素の濃度が上記多結晶シリコン層の
    残りの部分よりも高濃度となるようにし、 (e)上記多結晶シリコン層に対して、エッチングせず
    に残す多結晶シリコン部の側壁と上記絶縁層表面のなす
    角度が実質的に90°になるような異方性エッチングを
    施し、 (f)上記残された多結晶シリコン部の一部を熱酸化
    し、その熱酸化された部分を除去することによって、寸
    法制御が精度よく行われたサブミクロン級の長さと厚さ
    を持つ多結晶シリコン部を残し、 (g)上記(f)のステップを経た多結晶シリコン部を
    マスクとして用いて、上記半導体基板中に所望のPN接
    合パターンを形成する、 集積回路構造体の製造方法。
  2. 【請求項2】(a)FET素子の収容領域を確定すると
    ともに該領域同士を隔離する表面絶縁パターンを半導体
    基板に形成し、 (b)上記半導体基板上に絶縁層を形成し、 (c)上記絶縁層上に多結晶シリコン層を付着し、 (d)所定のイオン注入エネルギーでドーピング元素の
    イオン注入を行い上記多結晶シリコン層をドープした
    後、約850℃以下の温度でアニールし、表面近傍部分
    で上記ドーピング元素の濃度が上記多結晶シリコン層の
    残りの部分よりも高濃度となるようにし、 (e)上記多結晶シリコン層上にその上面からの酸化を
    防止する保護層を形成し、 (f)上記多結晶シリコン層と上記保護層の複合体に対
    して、エッチングせずに残す複合体の側壁と上記絶縁層
    表面のなす角度が実質的に90°になるような異方性エ
    ッチングを施し、 (g)上記残された複合体中の多結晶シリコン部の一部
    を熱酸化し、その熱酸化された部分と上記保護層とを除
    去することによって、寸法制御が精度よく行われたサブ
    ミクロン級の長さと厚さを持つ多結晶シリコン部を残
    し、 (h)上記(g)のステップを経た多結晶シリコン部を
    マスクとして用いて、上記半導体基板中に所望のPN接
    合パターンを形成する、 集積回路構造体の製造方法。
  3. 【請求項3】前記所定のイオン注入エネルギーが40−
    80KeVである、請求項1または2の集積回路構造体
    の製造方法。
JP63233857A 1987-10-30 1988-09-20 集積回路構造体の製造方法 Expired - Lifetime JPH0654781B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP87115967A EP0313683A1 (en) 1987-10-30 1987-10-30 Method for fabricating a semiconductor integrated circuit structure having a submicrometer length device element
EP87115967.9 1987-10-30

Publications (2)

Publication Number Publication Date
JPH021132A JPH021132A (ja) 1990-01-05
JPH0654781B2 true JPH0654781B2 (ja) 1994-07-20

Family

ID=8197405

Family Applications (1)

Application Number Title Priority Date Filing Date
JP63233857A Expired - Lifetime JPH0654781B2 (ja) 1987-10-30 1988-09-20 集積回路構造体の製造方法

Country Status (3)

Country Link
US (1) US4869781A (ja)
EP (1) EP0313683A1 (ja)
JP (1) JPH0654781B2 (ja)

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5543646A (en) * 1988-09-08 1996-08-06 Mitsubishi Denki Kabushiki Kaisha Field effect transistor with a shaped gate electrode
US5089863A (en) * 1988-09-08 1992-02-18 Mitsubishi Denki Kabushiki Kaisha Field effect transistor with T-shaped gate electrode
DE3915650A1 (de) * 1989-05-12 1990-11-15 Siemens Ag Verfahren zur strukturierung einer auf einem halbleiterschichtaufbau angeordneten schicht
US5201993A (en) * 1989-07-20 1993-04-13 Micron Technology, Inc. Anisotropic etch method
US5271799A (en) * 1989-07-20 1993-12-21 Micron Technology, Inc. Anisotropic etch method
US4971655A (en) * 1989-12-26 1990-11-20 Micron Technology, Inc. Protection of a refractory metal silicide during high-temperature processing using a dual-layer cap of silicon dioxide and silicon nitride
JPH07108988B2 (ja) * 1990-04-11 1995-11-22 株式会社島津製作所 マイクロメカニクスの製造方法
US5013398A (en) * 1990-05-29 1991-05-07 Micron Technology, Inc. Anisotropic etch method for a sandwich structure
US5094712A (en) * 1990-10-09 1992-03-10 Micron Technology, Inc. One chamber in-situ etch process for oxide and conductive material
US5436481A (en) * 1993-01-21 1995-07-25 Nippon Steel Corporation MOS-type semiconductor device and method of making the same
SE501079C2 (sv) * 1993-04-16 1994-11-07 Asea Brown Boveri Metod för etsning av kiselområden på isolerande substrat
US6780718B2 (en) 1993-11-30 2004-08-24 Stmicroelectronics, Inc. Transistor structure and method for making same
JP3336747B2 (ja) * 1994-06-09 2002-10-21 ソニー株式会社 絶縁膜の形成方法、並びに半導体装置の作製方法及び半導体装置
US5917219A (en) * 1995-10-09 1999-06-29 Texas Instruments Incorporated Semiconductor devices with pocket implant and counter doping
US6049135A (en) 1996-05-28 2000-04-11 Kabushiki Kaisha Toshiba Bed structure underlying electrode pad of semiconductor device and method for manufacturing same
US6037627A (en) * 1996-08-02 2000-03-14 Seiko Instruments Inc. MOS semiconductor device
US5796151A (en) * 1996-12-19 1998-08-18 Texas Instruments Incorporated Semiconductor stack having a dielectric sidewall for prevention of oxidation of tungsten in tungsten capped poly-silicon gate electrodes
JP3090074B2 (ja) * 1997-01-20 2000-09-18 日本電気株式会社 半導体装置及びその製造方法
US6048769A (en) 1997-02-28 2000-04-11 Intel Corporation CMOS integrated circuit having PMOS and NMOS devices with different gate dielectric layers
US5763922A (en) * 1997-02-28 1998-06-09 Intel Corporation CMOS integrated circuit having PMOS and NMOS devices with different gate dielectric layers
US5885877A (en) * 1997-04-21 1999-03-23 Advanced Micro Devices, Inc. Composite gate electrode incorporating dopant diffusion-retarding barrier layer adjacent to underlying gate dielectric
US6080629A (en) * 1997-04-21 2000-06-27 Advanced Micro Devices, Inc. Ion implantation into a gate electrode layer using an implant profile displacement layer
US5963841A (en) * 1997-08-01 1999-10-05 Advanced Micro Devices, Inc. Gate pattern formation using a bottom anti-reflective coating
US5776821A (en) * 1997-08-22 1998-07-07 Vlsi Technology, Inc. Method for forming a reduced width gate electrode
US6204130B1 (en) * 1997-08-29 2001-03-20 Advanced Micro Devices, Inc. Semiconductor device having reduced polysilicon gate electrode width and method of manufacture thereof
US6087238A (en) * 1997-12-17 2000-07-11 Advanced Micro Devices, Inc. Semiconductor device having reduced-width polysilicon gate and non-oxidizing barrier layer and method of manufacture thereof
US6033998A (en) * 1998-03-09 2000-03-07 Lsi Logic Corporation Method of forming variable thickness gate dielectrics
US6509606B1 (en) 1998-04-01 2003-01-21 National Semiconductor Corporation Single poly EPROM cell having smaller size and improved data retention compatible with advanced CMOS process
US5880006A (en) * 1998-05-22 1999-03-09 Vlsi Technology, Inc. Method for fabrication of a semiconductor device
US6054206A (en) * 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6110779A (en) * 1998-07-17 2000-08-29 Advanced Micro Devices, Inc. Method and structure of etching a memory cell polysilicon gate layer using resist mask and etched silicon oxynitride
US6380055B2 (en) 1998-10-22 2002-04-30 Advanced Micro Devices, Inc. Dopant diffusion-retarding barrier region formed within polysilicon gate layer
US6746925B1 (en) * 2003-03-25 2004-06-08 Lsi Logic Corporation High-k dielectric bird's beak optimizations using in-situ O2 plasma oxidation
US6790720B1 (en) * 2003-09-25 2004-09-14 Macronix International Co., Ltd. Method for fabricating a MOSFET and reducing line width of gate structure
US20050221513A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method of controlling trimming of a gate electrode structure
US6852584B1 (en) * 2004-01-14 2005-02-08 Tokyo Electron Limited Method of trimming a gate electrode structure
JP2008124399A (ja) * 2006-11-15 2008-05-29 Toshiba Corp 半導体装置の製造方法
US9461169B2 (en) * 2010-05-28 2016-10-04 Globalfoundries Inc. Device and method for fabricating thin semiconductor channel and buried strain memorization layer
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
TWI678596B (zh) * 2018-09-13 2019-12-01 新應材股份有限公司 正型光阻組成物及圖案化聚醯亞胺層之形成方法
US11217690B2 (en) * 2019-09-16 2022-01-04 Infineon Technologies Austria Ag Trench field electrode termination structure for transistor devices

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4104086A (en) * 1977-08-15 1978-08-01 International Business Machines Corporation Method for forming isolated regions of silicon utilizing reactive ion etching
US4244799A (en) * 1978-09-11 1981-01-13 Bell Telephone Laboratories, Incorporated Fabrication of integrated circuits utilizing thick high-resolution patterns
US4256514A (en) * 1978-11-03 1981-03-17 International Business Machines Corporation Method for forming a narrow dimensioned region on a body
US4209350A (en) * 1978-11-03 1980-06-24 International Business Machines Corporation Method for forming diffusions having narrow dimensions utilizing reactive ion etching
US4234362A (en) * 1978-11-03 1980-11-18 International Business Machines Corporation Method for forming an insulator between layers of conductive material
US4209349A (en) * 1978-11-03 1980-06-24 International Business Machines Corporation Method for forming a narrow dimensioned mask opening on a silicon body utilizing reactive ion etching
US4445267A (en) * 1981-12-30 1984-05-01 International Business Machines Corporation MOSFET Structure and process to form micrometer long source/drain spacing
US4397937A (en) * 1982-02-10 1983-08-09 International Business Machines Corporation Positive resist compositions
EP0098318B1 (de) * 1982-07-03 1987-02-11 Ibm Deutschland Gmbh Verfahren zum Herstellen von Gräben mit im wesentlichen vertikalen Seitenwänden in Silicium durch reaktives Ionenätzen
DE3242113A1 (de) * 1982-11-13 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zur herstellung einer duennen dielektrischen isolation in einem siliciumhalbleiterkoerper
JPS59188974A (ja) * 1983-04-11 1984-10-26 Nec Corp 半導体装置の製造方法
US4471523A (en) * 1983-05-02 1984-09-18 International Business Machines Corporation Self-aligned field implant for oxide-isolated CMOS FET
US4534826A (en) * 1983-12-29 1985-08-13 Ibm Corporation Trench etch process for dielectric isolation
US4722909A (en) * 1985-09-26 1988-02-02 Motorola, Inc. Removable sidewall spacer for lightly doped drain formation using two mask levels
DE3683039D1 (de) * 1986-04-04 1992-01-30 Ibm Deutschland Verfahren zum herstellen von silicium und sauerstoff enthaltenden schichten.

Also Published As

Publication number Publication date
JPH021132A (ja) 1990-01-05
EP0313683A1 (en) 1989-05-03
US4869781A (en) 1989-09-26

Similar Documents

Publication Publication Date Title
JPH0654781B2 (ja) 集積回路構造体の製造方法
US6559017B1 (en) Method of using amorphous carbon as spacer material in a disposable spacer process
EP0083088B1 (en) Method of producing field effect transistors having very short channel length
EP0083785B1 (en) Method of forming self-aligned field effect transistors in integrated circuit structures
US4533429A (en) Method of manufacturing a semiconductor device
EP0083784B1 (en) Procedure for manufacturing integrated circuit devices having sub-micrometer dimension elements, and resulting structure
US4679299A (en) Formation of self-aligned stacked CMOS structures by lift-off
US4398964A (en) Method of forming ion implants self-aligned with a cut
US6740574B2 (en) Methods of forming DRAM assemblies, transistor devices, and openings in substrates
JP3018993B2 (ja) 半導体装置の製造方法
US4586243A (en) Method for more uniformly spacing features in a semiconductor monolithic integrated circuit
KR100273296B1 (ko) 모스 트랜지스터 제조방법
US20030013257A1 (en) Method for manufacturing semiconductor device
KR0179823B1 (ko) 반도체장치의 제조방법
KR100336567B1 (ko) 반도체장치의소자분리방법
EP0111097B1 (en) Method for making semiconductor devices having a thick field dielectric and a self-aligned channel stopper
US4544940A (en) Method for more uniformly spacing features in a lateral bipolar transistor
KR0134858B1 (ko) 반도체 소자의 콘택홀 형성방법
JPH04267336A (ja) 半導体装置の製造方法
JP3000130B2 (ja) 半導体装置の製造方法
KR960014455B1 (ko) 반도체장치의 및 그 제조방법
KR0136968B1 (ko) 반도체소자의 제조방법
JPH0594998A (ja) 半導体装置の製造方法
JPH1022478A (ja) 半導体装置の製造方法
KR970030392A (ko) 반도체 장치의 미세 패턴 형성방법