JPH056875A - 二酸化シリコンの改良rieエツチング方法 - Google Patents

二酸化シリコンの改良rieエツチング方法

Info

Publication number
JPH056875A
JPH056875A JP3021246A JP2124691A JPH056875A JP H056875 A JPH056875 A JP H056875A JP 3021246 A JP3021246 A JP 3021246A JP 2124691 A JP2124691 A JP 2124691A JP H056875 A JPH056875 A JP H056875A
Authority
JP
Japan
Prior art keywords
chamber
gas
rie
range
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP3021246A
Other languages
English (en)
Other versions
JP2519839B2 (ja
Inventor
David W Groechel
ダブリユー グレツチエル デイヴイツド
Brad Taylor
テイラー ブラツドリー
John R Henri
アール ヘンリー ジヨン
Naomi Obinata
オビナタ ナオミ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=23910758&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JPH056875(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH056875A publication Critical patent/JPH056875A/ja
Application granted granted Critical
Publication of JP2519839B2 publication Critical patent/JP2519839B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C15/00Surface treatment of glass, not in the form of fibres or filaments, by etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Inorganic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【構成】 RIE室内に約400〜約1000ワックの
出力レベルでプラズマを保ちながら、不活性ガス及びCH
F3をRIE室中へ、約200sccmを越えない全ガス流
で、それぞれのガス流を約15〜約185sccmの不活性
ガス及び約15〜約60sccmのCHF3の範囲内にかつ不活
性ガス:CHF3の比を約1:1〜約10:1の範囲に保ち
ながら流入させることを含む、シリコン酸化物層の平面
に関して少なくとも80°の接触角及びシリコンに対す
る高度の選択性を特徴とする半導体上のシリコン酸化物
層中に1個以上の開口をエッチングするための改良RI
E方法。1つの好ましい実施態様では、シリコンに対す
るエッチングの選択性を制御するために CF4ガスをも約
1〜約10sccmの範囲内でRIE室中へ流入させかつエ
ッチング工程中ウエハを1〜120ガウスの磁界内に浸
漬する。 【効果】 酸化物層中の開口のエッチング角は約85°
又は約87°でありかつ酸化物層の下のシリコン基板の
エッチングは100オングストローム未満であり、シリ
コンに対する選択性が高度である。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は二酸化シリコンのエッチ
ング方法に関する。特に、本発明はシリコンに対する高
度選択性を保ちながらほぼ垂直な側壁を有する開口を二
酸化シリコン内に異方的にエッチングするシリコン半導
体上の二酸化シリコンの選択的プラズマエッチング方法
に関する。
【0002】
【従来技術】例えばシリコン基板又はポリシリコン層上
の二酸化シリコン層中の開口のエッチングに於ては、現
場テーパー状接触エッチングを達成するためにレジスト
ファセッティングを増強するためのプラズマエッチング
方法でアルゴン、CHF3及びO2の混合物を用いることは知
られている。
【0003】しかしながら、全く驚くべきことには、今
回、シリコンに対して高度に選択的なエッチングの化学
作用、すなわちシリコンよりはむしろ二酸化シリコンを
優先的にエッチングするエッチングの化学作用を用い
て、二酸化シリコンをエッチングしてテーパー状開口よ
りはむしろほぼ垂直な側壁を与えることができかつエッ
チングの化学作用を変えることによって、垂直に近いエ
ッチングを依然として与えながら選択性の量を変えるこ
とができることが発見された。
【0004】
【発明が解決しようとする課題】従って、本発明の目的
はほぼ垂直なエッチ勾配又は接触角及びシリコンに対す
る高度の選択性を特徴とする二酸化シリコンの改良プラ
ズマエッチング方法を提供することである。本発明のも
う1つの目的は酸素を用いないで不活性ガスとCHF3との
混合物を用いてほぼ垂直なエッチング勾配とシリコンに
対する高度の選択性とを与える二酸化シリコンの改良プ
ラズマエッチング方法を提供することである。
【0005】本発明のさらにもう1つの目的は酸素を用
いないで不活性ガス、CHF3及び CF4の混合物を用いてほ
ぼ垂直なエッチング勾配又は接触角及びシリコンに対す
る制御可能な選択性を与える二酸化シリコンの改良プラ
ズマエッチング方法を提供することである。本発明のこ
れら及び他の目的は以下の説明及び添付図面から明らか
になるであろう。
【0006】
【課題を解決するための手段】本発明は不活性ガスとCH
F3との組み合わせを用いてシリコンに対して高度に選択
性でありかつほぼ垂直なエッチング勾配又は接触角を与
える酸化物エッチング方法を提供する半導体ウエハ上の
酸化物層の改良プラズマエッチング方法を含む。
【0007】本明細書中で用いられる“シリコンに対し
て高度に選択性”という用語は、酸化物:シリコンのエ
ッチ比が、少なくとも15:1で、27:1のような高
い比までであることを意味し、この比は以下に述べるよ
うに存在する CF4の量に依存する。“ほぼ垂直なエッチ
ング勾配”又は“ほぼ垂直な接触角”という用語は、形
成される開口の側壁が限定する角がエッチングされる酸
化物層の平面に関して少なくとも80°、好ましくは約
90°であることを意味する。
【0008】本明細書では本発明の方法を二酸化シリコ
ン(SiO2)のエッチング方法として定義するが、時に
“酸化物”又は“ガラス”とも称する、本発明の方法で
エッチングされる二酸化シリコンは純粋なSiO2である必
要はなく、例えばガラスの融点又は流動点を下げるため
あるいはガラスへ他の所望な性質を付与するために添加
されるホウ素、リン又はヒ素化合物のような他の化合物
をその中に含むことができることは言うまでもないであ
ろう。
【0009】本発明の方法の実施に用いられるエッチン
グ装置は、エッチングされるべき所望のサイズのウエハ
を支持することができ、本発明で用いられる型のガスを
以下に述べる流速で導入することができかつプラズマを
本発明の方法のために所要な出力レベルで保つことがで
きる任意の市販の反応性イオンエッチング(RIE)装
置又は磁気増強式反応性イオンエッチング(MERI
E)装置を含むことができる。本明細書中では、かかる
装置を、磁気増強式又は非増強式のいずれかの、RIE
装置と一般的に呼ぶことにする。かかる市販の装置の例
には、 Applied Materials, Inc.から市販されている P
recision5000磁気増強式反応性イオンエッチャー、
Lam から市販されている Rainbow反応性イオンエッチャ
ー、TegalCompany から市販されている反応性イオン装
置、及び Drytek から市販されているQuad反応性イオン
エッチャーが含まれる。
【0010】本発明の1つの好ましい実施態様によれ
ば、ウエハのサイズ及び所望なエッチング速度によっ
て、エッチング中にRIE室中を通って流れるCHF3の量
は約15標準立方センチメートル/分(sccm)から約6
0sccmまでの範囲内で変わる。図2のグラフは付着した
ホウリンケイ酸塩ガラス(BPSG)及び熱的に成長し
た二酸化シリコン(Tox)ガラスの両方に対するCHF3
(全CHF3/不活性ガス流の容量%として示される)とオ
ングストローム/分(A/分)でのエッチング速度との
間の関係を示す。
【0011】さらに、少なくとも約80°のエッチング
勾配又は接触角を達成するためには、不活性ガス流:CH
F3流の比を約1:1〜約10:1の範囲内に保たねばな
らない。好ましくは、不活性ガス流:CHF3流の比は、少
なくとも約85°のエッチング勾配又は接触角を達成す
るために少なくとも2:1から約5:1までに変わる。
【0012】図3のグラフから、エッチングの接触角又
は勾配がCHF3濃度(図3では全不活性ガス/CHF3ガス流
の容量%として示してある)と共に変化し、CHF3濃度が
全不活性ガス/CHF3ガス流の約20〜30容量%の範囲
であるとき、すなわち約3.33:1〜約5:1の不活性
ガス:CHF3の比範囲のときに最高の接触角が得られ、か
つ同じ濃度では(Tox)ガラスよりもホウリンケイ酸塩熱
酸化物ガラス(BPSG)の方が高い接触角が得られる
ことがわかるであろう。
【0013】エッチング過程中、RIE室内の圧力は約
10〜約120mTorr 、好ましくは約40〜約80mTor
r の範囲内に保たれる。RIE室をなお所望の圧力範囲
内に保ちながら、所望の不活性ガス:CHF3比を達成する
には、RIE室中への全ガス流が約200sccmを越えて
はならない。従って、CHF3と同時にRIE室中へ流入す
る不活性ガスの全量は約15〜約185sccm、好ましく
は約30〜約140sccmの範囲内で変わる。好ましく
は、ほとんどの場合、不活性ガスはアルゴンを含むが、
ヘリウムも使用することができる。しかし、アルミニウ
ム上の二酸化シリコン層の場合には、アルゴンはアルミ
ニウムをスパッターする可能性があるので、ヘリウムの
使用が好ましいことがあり得る。
【0014】シリコンに対するエッチングの選択性を制
御するため、エッチング中約1〜約10sccmの CF4をも
RIE室中へ流入させることができる。好ましくは、R
IE室中へ流入される CF4の量は約2〜約6sccm、最も
好ましくは約2〜5sccmの範囲である。図4のグラフは
酸化物エッチング速度を CF4流に対してプロットしたも
のであるが、図5のグラフはシリコン選択性を CF4流に
対してプロットしたものである。エッチング過程への C
F4ガスの添加は酸化物のエッチング速度を増加するが、
シリコンに対する選択性はガス流中の CF4の量が増すに
つれて減少することがわかるであろう。かくして、本発
明によるガス流中の CF4の使用は、エッチング方法のシ
リコン選択性に対する酸化物エッチング速度のより正確
な均衡を与えるためにシリコンに対する選択性の量の制
御を可能にする。
【0015】エッチング過程中RIE室内で半導体ウエ
ハを載せる陰極は、本発明のRIE方法中に発生する熱
によるウエハの加熱を防ぐため、約15〜約25℃の範
囲内の温度、好ましくは約20℃に冷却され、かつこの
温度に保たれる。RIE室の壁及びガスがそこを通って
RIE室中へ流入するシャワーヘッドは、例えば壁及び
シャワーヘッド中に設けられた熱交換器中に熱水を流す
ことによって、約40〜約50℃の範囲内の温度へ加熱
される。
【0016】RIE室の蓋及び上部表面は、後でウエハ
上に落下する可能性があるRIE室の上部への付着を防
ぐため、約60〜約90℃の範囲内の温度へ加熱され
る。この加熱を行うため、抵抗ヒーターが通常用いられ
る。約13.56メガヘルツ(MHz)の周波数で、かつウエ
ハのサイズによって約400〜約1000ワットの出力
範囲で作動することができる出力源を用いて、半導体ウ
エハを載せる陰極とRIE室の壁との間にプラズマを発
生させる。例えば出力レベルは、4″(10.16cm)ウ
エハでは約400〜約500ワット、5″(12.7cm)
ウエハでは約600〜約700ワット、6″(15.24
cm)ウエハでは約650〜約750ワット、8″(20.
32cm)ウエハでは約750〜約1000ワットの範囲
内でなければならない。
【0017】本発明のRIE方法は、好ましくは、半導
体ウエハの平面内にある、又は平面に平行な磁気モーメ
ント又は磁界強度の方向を有する磁界内で実施される。
この磁界の磁界強度は1〜約120ガウスの範囲である
ことができる。図6のグラフは磁界強度に対してプロッ
トされた酸化物エッチング速度を示す。かかる磁界は永
久磁石又は電磁コイルによって与えられる。1つの好ま
しい実施態様に於て、電磁コイルのような磁界発生手段
をRIE室のまわりに置き、次に、相応しくはウエハの
平面に平行な回転する磁気軸を有する回転磁界強度の磁
界を与えるために回転され、すなわち付勢及び除勢され
る。かかる磁界増強式RIE装置は1989年6月27
日に発行されかつ本発明の譲受人に譲渡され、かつここ
にそれについてクロスリファレンスがなされる米国特許
第 4,842,683号中に記載されかつ特許請求されている。
【0018】本発明のRIE方法に於ける唯一の酸素源
はエッチングされる酸化物中にあるので、本発明の方法
はエッチングガス中に酸素ガスを用いる先行技術の方法
よりもCO線すなわち483nm線の放出検出による終点
の監視を有効に行うことができ、かくしてかかるCO線
の不在はもはやエッチングされるべき酸化物が無いこと
すなわち終点に達したことを示す。
【0019】本発明の方法の作用について特別な理論に
束縛されたくはないが、本発明のCHF3/不活性ガス系は
シリコンに対する高度選択性を有する異方性エッチング
を与える点で先行技術に於て実施されるようなAr/CHF3
/O2RIE方法より優れていると思われる。シリコンに
対して選択的にSiO2をエッチングするとき、プラズマ重
合は重要な役割を演ずる。エッチングフィーチャー(et
ch feature)の側壁上に選択的に生成することによっ
て、重合体は等方性エッチングを防止する。
【0020】Ar/CHF3/O2先行技術方法からの結果は厚
い重合体側壁及びテーパー状断面を示すが、本発明の方
法からの結果は薄い重合体側壁及びより垂直な断面を示
す。本発明の方法は重合速度を減少するように思われる
が、シリコンより好んで熱酸化物の選択的エッチングを
増加した。エッチング中、明らかにより高いイオンフラ
ックスのために水平表面は重合体が無いままである。し
かし、シリコン基板に達した瞬間、薄い重合体膜が生成
し始めてそれ以上のエッチングを阻止し、かくして選択
性を増強する。
【0021】この効果はフッ素対炭素(F/C)比の減
少の結果のように思われる。F/C比はSiO2エッチング
に関与する活性種の比である。F/C比の増加はエッチ
ング速度を増加するであろう。この比の減少は重合体生
成を増強する。不活性ガス/CHF3ガス混合物へのO2の添
加(先行技術に於て実施されているような)は、炭素が
CO及びCO2 の生成で消費されるので、F/C比を有効
に増加するであろう。フッ素はCOF2の生成で低速度で消
費される。対照的に、本発明の方法では主要酸素源がエ
ッチングされる酸化物であり、かくしてSiO2/Si界面に
於ける低いF/C比のために、シリコンに対する選択性
が増強される。本発明の方法への CF4の添加はF/C比
の一層の制御及び調節を与える。
【0022】本発明をさらに説明するため、それぞれそ
の上に成長した1μmの熱成長酸化物(Tox)層又はその
上に付着した1μm のホウリンケイ酸塩ガラス(BPS
G)層を有する2個の直径5″(12.7cm)のシリコン
ウエハであって、各ウエハ上に直径0.8μm の開口が中
に形成されているフォトレジストパターンを有する2個
のウエハを本発明の方法でRIEエッチングを行った。
【0023】各ウエハを Applied Materials5000Se
ries 磁気増強式反応性イオンエッチング装置のRIE
室内に入れ、該室内に80mTorr の真空を保ちながら6
0sccmのアルゴン、30sccmのCHF3及び4sccmの CF4
該室中へ流入させた。650ワットの出力レベルを用い
てプラズマを発生させた。483nmのCO輝線を監視し
ながらRIEエッチングを行った。各エッチング中、ウ
エハの平面に平行な65ガウスの磁界を保った。
【0024】CO線強度減少がそれ以上酸化物がエッチ
ングされていないことを示したとき、プラズマを消し、
ガス流を止めかつ電磁石を切った。各場合に全エッチン
グ時間を記録した。Tox 被覆ウエハのエッチング速度は
3500オングストローム/分であったが、BPSG被
覆ウエハのエッチング速度は4300オングストローム
/分であった。
【0025】エッチングされた各ウエハの断面を走査電
子顕微鏡(SEM)を用いて検査して開口の接触角すな
わち勾配ならびに下にあるシリコン基板のエッチングの
程度を測定した。Tox 被覆ウエハ中の開口の平均接触角
は約85°であったが、BPSG被覆ウエハ中の開口の
平均接触角は約87°であった。シリコンウエハの検査
は、エッチング領域内のTox 被覆又はBPSG被覆層の
下のシリコンウエハの100オングストローム未満がエ
ッチング除去されたことを示し、本発明のエッチング方
法の選択性が高度であることを示した。
【0026】かくして、本発明は、ほぼ垂直な接触角及
びシリコンに対する高度の選択性を特徴とし、良好なエ
ッチング速度を保つために CF4によって選択性の量が制
御される、酸素の不在下で不活性ガス/CHF3/CF4 混合
物を含む改良シリコン酸化物エッチング方法を提供す
る。
【図面の簡単な説明】
【図1】本発明を示すフローシートである。
【図2】エッチング室中へ流入する不活性ガス及びCHF3
の全量の百分率としてプロットされたCHF3濃度に対する
酸化物エッチング速度を示すグラフである。
【図3】エッチング室中へ流入する不活性ガス及びCHF3
の全量の百分率としてプロットされたCHF3濃度に対する
エッチングされた開口の接触角を示すグラフである。
【図4】エッチング室中へ流入する CF4の量に対する酸
化物エッチング速度を示すグラフである。
【図5】エッチング室中へ流入する CF4の量に対してプ
ロットされたシリコンに対するエッチングの選択性を示
すグラフである。
【図6】エッチング室内の磁界強度に対する酸化物エッ
チング速度を示すグラフである。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ブラツドリー テイラー アメリカ合衆国 ワシントン州 98103 シアトル ノース シツクステイサード ストリート 2351 (72)発明者 ジヨン アール ヘンリー アメリカ合衆国 カリフオルニア州 94022 ロス アルトス シダー プレイ ス 4175 (72)発明者 ナオミ オビナタ アメリカ合衆国 カリフオルニア州 94040 マウンテン ヴイユー 114 デル メデイオ コート 2747

Claims (20)

    【特許請求の範囲】
  1. 【請求項1】 約400〜約1000wattの範囲内の出
    力レベルで室内にプラズマを保ちながらRIE室中へ約
    15〜約185sccmの不活性ガス及び約15〜約60sc
    cmのCHF3を流入させる工程を含む、ほぼ垂直な接触角及
    びシリコンに対する高度選択性を特徴とするシリコン酸
    化物をエッチングするためのRIE方法。
  2. 【請求項2】 RIE室中へ該不活性ガス及び該CHF3
    スを流入させる該工程が該ガスの比を不活性ガス:CHF3
    の約1:1〜約10:1の範囲内に保ちながら該ガスを
    該RIE室中へ流入させることをも含む請求項1記載の
    方法。
  3. 【請求項3】 該RIE室中へのガスの全流量が約20
    0sccmを越えない請求項2記載の方法。
  4. 【請求項4】 該不活性ガスがヘリウム及びアルゴンか
    らなる群から選ばれる請求項3記載の方法。
  5. 【請求項5】 該不活性ガスがアルゴンを含む請求項4
    記載の方法。
  6. 【請求項6】 該RIE室が該エッチング中約10〜約
    120mTorr の範囲内の圧力に保たれる請求項3記載の
    方法。
  7. 【請求項7】 該エッチング工程中、該RIE室内の該
    ウエハの温度が約15〜約25℃の範囲内に保たれる請
    求項3記載の方法。
  8. 【請求項8】 該ウエハが該エッチング工程中磁界内に
    浸漬され、該磁界が120ガウスまでの磁界強度及び該
    ウエハの平面に平行な方向の磁気モーメントを有する請
    求項3記載の方法。
  9. 【請求項9】 該室中へ該ガスを流入させる該工程が C
    F4を約1〜約10sccmの範囲内の量で該室中へ流入させ
    ることをも含む請求項3記載の方法。
  10. 【請求項10】 該室中への該 CF4の該量が約2〜約6
    sccmの範囲内である請求項9記載の方法。
  11. 【請求項11】 (a) RIE室中へ不活性ガス、CHF3
    及び CF4を、該それぞれのガス流を約30〜約140sc
    cmの該不活性ガス、約15〜約60sccmの該CHF3及び約
    1〜約10sccmの該 CF4の範囲に保ちかつ該ガスの全量
    が約200sccmを越えないようにしながら流入させる工
    程、及び(b) 該ガスが流入している間、約400〜約
    1000ワットの範囲内の出力レベルでプラズマを該R
    IE室内に保つ工程を含む、シリコン酸化物層の平面に
    関して少なくとも80°の接触角及びシリコンに対する
    高度選択性を特徴とする、シリコン酸化物層中に1個以
    上の開口をエッチングするための改良RIE方法。
  12. 【請求項12】 該RIE室中へ該ガスを流入させる工
    程が該不活性ガスと該CHF3の比を約2:1〜約5:1の
    範囲内に保ちながら該ガスを該室中へ流入させることを
    も含む請求項11記載の方法。
  13. 【請求項13】 該不活性ガスがヘリウム及びアルゴン
    からなる群から選ばれる請求項12記載の方法。
  14. 【請求項14】 該ウエハが該エッチング工程中磁界内
    に浸漬されかつ該磁界が120ガウスまでの磁界強度及
    び該ウエハの平面に平行な方向の磁気モーメントを有す
    る請求項12記載の方法。
  15. 【請求項15】 該室中への該 CF4ガスの該量が約2〜
    約6sccmの範囲内である請求項12記載の方法。
  16. 【請求項16】 シリコン酸化物層中に1個以上の開口
    をエッチングするための改良RIE方法であって、(a)
    アルゴン、CHF3及び CF4をRIE室中へ、該それぞれ
    のガス流を約30〜約140sccmの該アルゴン、約15
    〜約60sccmの該CHF3及び約2〜約6sccmの該 CF4の範
    囲内に保ちかつ該ガスの全量が約200sccmを越えない
    ようにしながら、かつ該アルゴンと該CHF3の比を約2:
    1〜約5:1の範囲内に保ちながら流入させる工程、
    (b) 該ガスの流入中、該RIE室内にプラズマを約4
    00〜約1000ワットの範囲内の出力レベルに保つ工
    程、及び(c) 該室中への該ガスの流入を続けかつ該室
    内に該プラズマを保って、該シリコン酸化物を下にある
    シリコン層に達するまでエッチングする工程を含む、該
    酸化物層の平面に関して少なくとも80°の接触角及び
    シリコンに対する高度の選択性を特徴とする改良RIE
    方法。
  17. 【請求項17】 該エッチング工程中、該ウエハが磁界
    内に浸漬され、かつ該磁界が120ガウスまでの磁界強
    度及び該ウエハの平面に平行な方向の磁気モーメントを
    有する請求項16記載の方法。
  18. 【請求項18】 該エッチング中、該RIE室が約10
    〜約120mTorrの範囲内の圧力に保たれる請求項16
    記載の方法。
  19. 【請求項19】 該RIE室内の該ウエハの温度が該エ
    ッチング工程中約15〜約25℃の範囲内に保たれる請
    求項16記載の方法。
  20. 【請求項20】 シリコン酸化物層中に1個以上の開口
    をエッチングするための改良RIE方法であって、(a)
    アルゴン、CHF3及び CF4をRIE室中へ、該それぞれ
    のガス流を約30〜約140sccmの該アルゴン、約15
    〜約60sccmの該CHF3及び約2〜約6sccmの該 CF4の範
    囲内に保ちかつ該ガスの全量が約200sccmを越えない
    ようにしながら、かつ該アルゴンと該CHF3の比を約2:
    1〜約5:1の範囲内に保ちながら流入させる工程、
    (b) 該ガスの流入中、該RIE室内にプラズマを約4
    00〜約1000ワットの範囲内の出力レベルで保つ工
    程、及び(c) 120ガウスまでの磁界強度及び該ウエ
    ハの平面に平行な方向の磁気モーメントを有する磁界内
    に該ウエハを浸漬する工程、及び(d) 該室中への該ガ
    スの流入を続けかつ該室内にプラズマを保って、該シリ
    コン酸化物を該磁界内に浸漬しながら下にあるシリコン
    層に達するまでエッチングする工程を含む、該酸化物層
    の平面に関して少なくとも80°の接触角及びシリコン
    に対する高度の選択性を特徴とする改良RIE方法。
JP3021246A 1990-02-16 1991-02-15 二酸化シリコンの改良rieエッチング方法 Expired - Lifetime JP2519839B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US481135 1990-02-16
US07/481,135 US5021121A (en) 1990-02-16 1990-02-16 Process for RIE etching silicon dioxide

Publications (2)

Publication Number Publication Date
JPH056875A true JPH056875A (ja) 1993-01-14
JP2519839B2 JP2519839B2 (ja) 1996-07-31

Family

ID=23910758

Family Applications (1)

Application Number Title Priority Date Filing Date
JP3021246A Expired - Lifetime JP2519839B2 (ja) 1990-02-16 1991-02-15 二酸化シリコンの改良rieエッチング方法

Country Status (4)

Country Link
US (1) US5021121A (ja)
EP (1) EP0442488A3 (ja)
JP (1) JP2519839B2 (ja)
KR (1) KR910016055A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006269879A (ja) * 2005-03-25 2006-10-05 Tokyo Electron Ltd エッチング方法及びエッチング装置

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5213659A (en) * 1990-06-20 1993-05-25 Micron Technology, Inc. Combination usage of noble gases for dry etching semiconductor wafers
US5022958A (en) * 1990-06-27 1991-06-11 At&T Bell Laboratories Method of etching for integrated circuits with planarized dielectric
US5160407A (en) * 1991-01-02 1992-11-03 Applied Materials, Inc. Low pressure anisotropic etch process for tantalum silicide or titanium silicide layer formed over polysilicon layer deposited on silicon oxide layer on semiconductor wafer
US5176790A (en) * 1991-09-25 1993-01-05 Applied Materials, Inc. Process for forming a via in an integrated circuit structure by etching through an insulation layer while inhibiting sputtering of underlying metal
JP2913936B2 (ja) * 1991-10-08 1999-06-28 日本電気株式会社 半導体装置の製造方法
US5658425A (en) * 1991-10-16 1997-08-19 Lam Research Corporation Method of etching contact openings with reduced removal rate of underlying electrically conductive titanium silicide layer
US5242538A (en) * 1992-01-29 1993-09-07 Applied Materials, Inc. Reactive ion etch process including hydrogen radicals
US5880036A (en) 1992-06-15 1999-03-09 Micron Technology, Inc. Method for enhancing oxide to nitride selectivity through the use of independent heat control
US6258497B1 (en) 1992-07-29 2001-07-10 International Business Machines Corporation Precise endpoint detection for etching processes
US5466636A (en) * 1992-09-17 1995-11-14 International Business Machines Corporation Method of forming borderless contacts using a removable mandrel
US5468340A (en) * 1992-10-09 1995-11-21 Gupta; Subhash Highly selective high aspect ratio oxide etch method and products made by the process
US5468339A (en) * 1992-10-09 1995-11-21 Advanced Micro Devices, Inc. Plasma etch process
US5286338A (en) * 1993-03-01 1994-02-15 At&T Bell Laboratories Methods for making microlens arrays
US5431799A (en) * 1993-10-29 1995-07-11 Applied Materials, Inc. Collimation hardware with RF bias rings to enhance sputter and/or substrate cavity ion generation efficiency
JP2993339B2 (ja) * 1993-12-03 1999-12-20 ヤマハ株式会社 半導体装置の製造方法
US6040247A (en) * 1995-01-10 2000-03-21 Lg Semicon Co., Ltd. Method for etching contact
US6039851A (en) * 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
JP2956524B2 (ja) * 1995-04-24 1999-10-04 日本電気株式会社 エッチング方法
US5814186A (en) * 1995-08-28 1998-09-29 Advanced Micro Devices, Inc. SOG etchant gas and method for using same
US5631197A (en) * 1995-08-30 1997-05-20 Taiwan Semiconductor Manufacturing Company, Ltd Sacrificial etchback layer for improved spin-on-glass planarization
US5626716A (en) * 1995-09-29 1997-05-06 Lam Research Corporation Plasma etching of semiconductors
US5650040A (en) * 1995-11-30 1997-07-22 Micron Technology, Inc. Interfacial etch of silica to improve adherence of noble metals
US6001699A (en) * 1996-01-23 1999-12-14 Intel Corporation Highly selective etch process for submicron contacts
US5843847A (en) * 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US5814563A (en) * 1996-04-29 1998-09-29 Applied Materials, Inc. Method for etching dielectric using fluorohydrocarbon gas, NH3 -generating gas, and carbon-oxygen gas
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
TW343360B (en) * 1996-07-31 1998-10-21 Applied Materials Inc Plasma reactor process for high photoresist selectivity and improved polymer adhesion
JP3651160B2 (ja) * 1997-01-31 2005-05-25 ソニー株式会社 半導体装置の製造方法
EP0871213A3 (en) * 1997-03-27 1999-03-03 Siemens Aktiengesellschaft Method for producing vias having variable sidewall profile
US5924000A (en) * 1997-09-19 1999-07-13 Vanguard International Semiconductor Corporation Method for forming residue free patterned polysilicon layer containing integrated circuit structures
US6074957A (en) * 1998-02-26 2000-06-13 Micron Technology, Inc. Methods of forming openings and methods of controlling the degree of taper of openings
US6117786A (en) * 1998-05-05 2000-09-12 Lam Research Corporation Method for etching silicon dioxide using fluorocarbon gas chemistry
US6020268A (en) * 1998-07-13 2000-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Magnetic field controlled spacer width
US6117788A (en) * 1998-09-01 2000-09-12 Micron Technology, Inc. Semiconductor etching methods
US6080676A (en) * 1998-09-17 2000-06-27 Advanced Micro Devices, Inc. Device and method for etching spacers formed upon an integrated circuit gate conductor
US6297163B1 (en) 1998-09-30 2001-10-02 Lam Research Corporation Method of plasma etching dielectric materials
US6136712A (en) 1998-09-30 2000-10-24 Lam Research Corporation Method and apparatus for improving accuracy of plasma etching process
US6281132B1 (en) 1998-10-06 2001-08-28 Advanced Micro Devices, Inc. Device and method for etching nitride spacers formed upon an integrated circuit gate conductor
US6335292B1 (en) 1999-04-15 2002-01-01 Micron Technology, Inc. Method of controlling striations and CD loss in contact oxide etch
US6093079A (en) * 1999-07-27 2000-07-25 House; William P. Toy vehicle track
US6617257B2 (en) 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating
US6630407B2 (en) 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating
US7311852B2 (en) * 2001-03-30 2007-12-25 Lam Research Corporation Method of plasma etching low-k dielectric materials
US6864041B2 (en) * 2001-05-02 2005-03-08 International Business Machines Corporation Gate linewidth tailoring and critical dimension control for sub-100 nm devices using plasma etching
US6746961B2 (en) 2001-06-19 2004-06-08 Lam Research Corporation Plasma etching of dielectric layer with etch profile control
JP4304904B2 (ja) * 2002-02-07 2009-07-29 株式会社島津製作所 エタロンの製造方法
US7045466B2 (en) * 2002-06-27 2006-05-16 Cornell Research Foundation, Inc. Three dimensional high aspect ratio micromachining
JP2004246994A (ja) * 2003-02-14 2004-09-02 Fuji Electric Holdings Co Ltd マスタディスクの製造方法
KR20050070837A (ko) * 2003-12-31 2005-07-07 동부아남반도체 주식회사 금속 옥사이드 반도체 소자의 플라즈마 손상방지를 위한식각방법
DE102004049233A1 (de) 2004-10-09 2006-04-20 Schott Ag Verfahren zur Mikrostrukturierung von Substraten aus Flachglas
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US8372756B2 (en) * 2008-08-29 2013-02-12 Air Products And Chemicals, Inc. Selective etching of silicon dioxide compositions
US8445296B2 (en) * 2011-07-22 2013-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and methods for end point determination in reactive ion etching
US11037784B2 (en) 2018-02-05 2021-06-15 Lam Research Corporation Amorphous carbon layer opening process

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57159026A (en) * 1981-03-27 1982-10-01 Toshiba Corp Dry etching method
JPS58143528A (ja) * 1982-02-22 1983-08-26 Hitachi Ltd ドライエツチング法
JPS6043844A (ja) * 1983-08-22 1985-03-08 Toshiba Corp 半導体装置の製造方法
JPS61121440A (ja) * 1984-11-19 1986-06-09 Matsushita Electric Ind Co Ltd ドライエツチング方法
JPS6273724A (ja) * 1985-09-27 1987-04-04 Hitachi Ltd 半導体装置の製造法
JPS6461023A (en) * 1987-08-18 1989-03-08 Air Liquide Reactive ion etching method
JPH01238121A (ja) * 1988-03-18 1989-09-22 Hitachi Ltd 半導体装置の製造方法
JPH03101227A (ja) * 1989-09-14 1991-04-26 Sumitomo Metal Ind Ltd エッチング方法及びその装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4511430A (en) * 1984-01-30 1985-04-16 International Business Machines Corporation Control of etch rate ratio of SiO2 /photoresist for quartz planarization etch back process
US4711698A (en) * 1985-07-15 1987-12-08 Texas Instruments Incorporated Silicon oxide thin film etching process
US4668338A (en) * 1985-12-30 1987-05-26 Applied Materials, Inc. Magnetron-enhanced plasma etching process
US4680087A (en) * 1986-01-17 1987-07-14 Allied Corporation Etching of dielectric layers with electrons in the presence of sulfur hexafluoride
US4698128A (en) * 1986-11-17 1987-10-06 Motorola, Inc. Sloped contact etch process
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
EP0282820A1 (de) * 1987-03-13 1988-09-21 Siemens Aktiengesellschaft Verfahren zum Erzeugen von Kontaktlöchern mit abgeschrägten Flanken in Zwischenoxidschichten
US4836885A (en) * 1988-05-03 1989-06-06 International Business Machines Corporation Planarization process for wide trench isolation
US4904341A (en) * 1988-08-22 1990-02-27 Westinghouse Electric Corp. Selective silicon dioxide etchant for superconductor integrated circuits
EP0413239B1 (en) * 1989-08-14 1996-01-10 Applied Materials, Inc. Gas distribution system and method of using said system

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57159026A (en) * 1981-03-27 1982-10-01 Toshiba Corp Dry etching method
JPS58143528A (ja) * 1982-02-22 1983-08-26 Hitachi Ltd ドライエツチング法
JPS6043844A (ja) * 1983-08-22 1985-03-08 Toshiba Corp 半導体装置の製造方法
JPS61121440A (ja) * 1984-11-19 1986-06-09 Matsushita Electric Ind Co Ltd ドライエツチング方法
JPS6273724A (ja) * 1985-09-27 1987-04-04 Hitachi Ltd 半導体装置の製造法
JPS6461023A (en) * 1987-08-18 1989-03-08 Air Liquide Reactive ion etching method
JPH01238121A (ja) * 1988-03-18 1989-09-22 Hitachi Ltd 半導体装置の製造方法
JPH03101227A (ja) * 1989-09-14 1991-04-26 Sumitomo Metal Ind Ltd エッチング方法及びその装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006269879A (ja) * 2005-03-25 2006-10-05 Tokyo Electron Ltd エッチング方法及びエッチング装置

Also Published As

Publication number Publication date
US5021121A (en) 1991-06-04
EP0442488A3 (en) 1991-10-16
JP2519839B2 (ja) 1996-07-31
KR910016055A (ko) 1991-09-30
EP0442488A2 (en) 1991-08-21

Similar Documents

Publication Publication Date Title
JP2519839B2 (ja) 二酸化シリコンの改良rieエッチング方法
EP1183684B1 (en) Reactive ion beam etching method and a thin film head fabricated using the method
US5431772A (en) Selective silicon nitride plasma etching process
US5880036A (en) Method for enhancing oxide to nitride selectivity through the use of independent heat control
JP3084497B2 (ja) SiO2膜のエッチング方法
US4361461A (en) Hydrogen etching of semiconductors and oxides
US5354417A (en) Etching MoSi2 using SF6, HBr and O2
US5302236A (en) Method of etching object to be processed including oxide or nitride portion
JP2669460B2 (ja) エツチング方法
US5188704A (en) Selective silicon nitride plasma etching
JPH10144654A (ja) 半導体基盤の表面処理方法
JP2002513207A (ja) 低k誘電体層をエッチングする方法
JP2002517895A (ja) 高アスペクト比の孔を形成する方法
JPH10326774A (ja) 六フッ化イオウ、トリフルオロメタン及び窒素を使用してタングステンを異方的にエッチングをする方法
JPH10135192A (ja) 半導体基盤の表面処理方法
US5362361A (en) Dry etching method
JPH0779103B2 (ja) エツチング方法
JP4839506B2 (ja) ドライエッチング方法
Layadi et al. Cl2 plasma etching of Si (100): Damaged surface layer studied by in situ spectroscopic ellipsometry
TW200947560A (en) Methods for adjusting critical dimension uniformity in an etch process
JP3760843B2 (ja) 半導体装置の製造方法
US6828251B2 (en) Method for improved plasma etching control
Chen et al. Plasma etching of niobium with CF4/O2 gases
US6228774B1 (en) High aspect ratio sub-micron contact etch process in an inductively-coupled plasma processing system
JPS61113775A (ja) 表面処理方法

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19960311