JPH10326774A - 六フッ化イオウ、トリフルオロメタン及び窒素を使用してタングステンを異方的にエッチングをする方法 - Google Patents

六フッ化イオウ、トリフルオロメタン及び窒素を使用してタングステンを異方的にエッチングをする方法

Info

Publication number
JPH10326774A
JPH10326774A JP10093507A JP9350798A JPH10326774A JP H10326774 A JPH10326774 A JP H10326774A JP 10093507 A JP10093507 A JP 10093507A JP 9350798 A JP9350798 A JP 9350798A JP H10326774 A JPH10326774 A JP H10326774A
Authority
JP
Japan
Prior art keywords
substrate
tungsten
etched
plasma
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP10093507A
Other languages
English (en)
Inventor
Guang-Jye Shiau
シャオ グアン−ジエ
Paul Herz
ヘルツ ポール
Xian-Can Deng
ダン シャン−チャン
Xiaobing Diana Ma
ダイアナ マ シャオビン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH10326774A publication Critical patent/JPH10326774A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

(57)【要約】 【課題】 タングステン含有層を基板上で実質的に異方
的に、良好な選択度で、しかもエッチングされた形状に
過度のパッシベーション堆積物を形成することなくエッ
チングする方法を得ることを目的とする。 【解決手段】 基板はプラズマゾーン内に置かれ、SF
6、CHF3及びN2を含むプロセスガスがプラズマゾー
ン中に導入される。プロセスガスからプラズマが形成さ
れ、タングステン含有層を異方的にエッチングする。予
め定められた誘電性電力対容量性電力比で動作する誘電
性プラズマと容量性プラズマを組み合わせて使用し、プ
ラズマを形成することが望ましい。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、タングステン含有
材料を基板上でエッチングする方法に関するものであ
る。
【0002】
【従来の技術】集積回路の製造においては、例えばタン
グステン、タンタル、チタン、モリブデン及びそれらの
シリサイドなどの耐熱性金属を用いて、シリコン基板又
はガリウム砒素基板上に形成された電気接続用の半導体
能動素子のための高密度、高速、電気的導電性の相互接
続線及び/又はコンタクトプラグが形成されている。典
型的なプロセスでは、ブランケットタングステン層は、
バリヤ層又は接着層として機能し、下層に位置するチタ
ン、窒化チタン、チタンタングステンなどの上に堆積さ
れる。この工程の後に、パターン形成されたフォトレジ
スト形状がタングステン層の上に形成されるマスキング
工程が続く。基板上に相互接続線を形成するために、エ
ッチング工程によりフォトレジストで覆われていない領
域からタングステン材料を除去する。タングステン層は
また、開口部を充填するのに用いられ、開口部を充填す
るブランケットタングステン層の化学気相堆積(CV
D)によって相互接続配線層の下の電気的導電性のコン
タクトプラグを形成する。この後に、ブランケットタン
グステン層をエッチングして、所望の形状の相互接続線
及び/又はプラグを形成する。タングステンは相互接続
線及びコンタクトプラグの双方にとって好ましいが、そ
の理由は、(i)660℃で溶融するアルミニウムと比
べて約3410℃とタングステンは溶融温度が高く、基
板の高温処理が可能である、(ii)シリコン層上に適
用されたときにタングステンは電気移動又は拡散の度合
いが低い、(iii)アルミニウムが250℃でシリコ
ンと反応するのに対して、タングステンは600から7
00℃を超える温度でシリコンと反応する、(iv)タ
ングステンの堆積によって一般に、高いアスペクト比の
コンタクトプラグがより一様に充填され、ステップカバ
レッジが良好となり、短絡や遮断を引き起こす電気的非
導通の形成が減少する、等のためである。
【0003】
【発明が解決しようとする課題】タングステン含有層を
エッチングする従来のプロセスは、ハロゲン含有ガスの
容量性RIEプラズマ、より典型的にはCF4、SF6
CBrF3、NF3などのフッ素化ガスを用いる。従来の
エッチングプロセスにおける1つの問題は、タングステ
ン含有層を異方的にエッチングして内側にも外側にもテ
ーパの無い実質的に垂直な側壁を持つ形状を持たせるこ
とができない点にある。エッチングされた形状の側壁が
過度にエッチングされると、好ましくない内側又は外側
に傾斜した側壁となる。
【0004】異方的エッチングを増大させる従来のタン
グステンエッチング法は、J.Vac.Sci.Tec
hnol.Bの3272頁(1985年)に説明されて
いるように、CBr、NF3、又はCHF3などのガスを
用いて、水平方向エッチングを減少させるために、エッ
チングされた形状の側壁に厚いパッシベーションの堆積
を形成する。しかしながら、これらの方法はしばしば、
エッチングプロセスが完了に近づくにつれて新たにエッ
チングされた側壁上に形成されるパッシベーション薄膜
の厚さが増大するために、ベースにおいてエッチングさ
れた形状がより広いディメンジョンを持つ結果となる。
さらに別の方法では、SF6/CBrF3やSF6/CH
3の混合気体を用いてアンダーカットを減少させては
いるが、例えばTennantらによるJ.Vac.S
ci.Technol.Bの71836頁(1989
年)に開示されているように、これらの混合物は、エッ
チングされた形状のベースにおいて実質的なアンダーカ
ットをもつ異方性のプロファイルを発生させる。さらに
別のエッチング方法では、Tachiらによる米国特許
第4,992,136号に説明されているように、タン
グステン薄膜は、(i)Cl2又はSF6などのエッチャ
ントガス、及び(ii)エッチング中に基板表面上に堆
積物を形成するためにC又はSiを含むパッシベーショ
ン薄膜形成用ハロゲンガス例えばCCl4、CF4、CH
3、CHCl3、SiF4、SiCl4を用いてエッチン
グされる。しかしながら、この方法では一般に、エッチ
ング中、特に高濃度の蒸発性パッシベーション重合体種
がエッチャントチャンバ内に形成されるエッチングプロ
セスの完了間近では、薄膜形成気体に対するエッチャン
トガスの比を正確に制御するのが困難なので、エッチン
グされた形状の側壁がテーパ角度を持ってしまう。
【0005】従来のエッチングプロセスはまた、集積回
路の設計において、エッチングされた形状の電気的特性
を決定し、あらかじめ決定された所望のエッチング形状
のディメンジョンであるエッチング形状の臨界的なディ
メンジョンを維持することができない。この臨界的形状
は、エッチングされた形状の電気的特性に重要な効果を
持つディメンジョンである。最近の集積回路において
は、より高い回路密度に適応できるように、相互接続用
の線幅及びコンタクトプラグの直径は0.25ミクロン
未満のレベルとますます減少している。これらの形状の
電気的抵抗は、エッチングされた形状の断面積に比例す
るので、エッチングされた形状を横切って又は別々のエ
ッチング形状同士間での変動がなく均一なディメンジョ
ンを維持することが重要である。形状同士間の間隔の関
数として変化するテーパ断面、すなわち断面プロファイ
ル又は形状プロファイルの他の変動は、最近の集積回路
ではもはや受け入れられない。臨界ディメンジョンは、
通常、レジスト形状の幅Wrと最終的なエッチング形状
の幅Weとの間の比又は差として測定される。エッチン
グされた形状の幅がレジスト形状の幅に近づくにつれ
て、エッチングされた形状の電気的特性がより予測可能
で信頼性の高いものとなる。
【0006】従って、異方性エッチングであって、実質
的に直線状の側壁を有するエッチング形状を提供する、
タングステン含有層をエッチングする方法(プロセス)
が望まれている。さらに、エッチングプロセスが、一貫
性があって再現可能な臨界的ディメンジョンを持つエッ
チング形状を提供するものであればより望ましい。ま
た、エッチングプロセスが、エッチング形状の側壁上の
パッシベーション薄膜堆積物が減少し、より制御可能な
ものであればさらに望ましい。また、エッチングプロセ
スが、プロセス変動に耐える大きなプロセスウインドウ
を持ちプロセススループットの高いものであればより一
層望ましい。
【0007】
【課題を解決するための手段】本発明は、基板上のタン
グステン含有層を高度に異方的にエッチングして、臨界
ディメンジョンの損失を減少させ、エッチング形状上で
の厚いパッシベーション薄膜が過度に堆積されるのを防
止する。本発明の一実施形態では、基板はプラズマゾー
ンに配置され、六フッ化イオウ(SF6)、トリフルオ
ロメタン(CHF3)、窒素(N2)を含むプロセスガス
がプラズマゾーン中に導入される。プロセスガスから発
生したプラズマは、(i)実質的に異方的に、かつ(i
i)基板上に過度のパッシベーション堆積物を形成する
ことなく、基板上のタングステン含有層をエッチングす
る。基板の表面に対して少なくとも約88゜の角度を形
成する側壁を持つエッチング形状にタングステン含有層
がエッチングされるように、SF6:CHF3:N2の体
積流量比が選択される。SF6:CHF3:N2の体積流
量比は、約1:1:1から約8:1:1である。
【0008】本発明の他の実施形態では、(i)プロセ
ス電極と、(ii)プロセスチャンバに隣接した誘導コ
イルを有するプロセスチャンバ内に、基板が配置され
る。SF6、CHF3、及びN2を含むプロセスガスがこ
のプロセスチャンバ内に導入される。プロセスガスはイ
オン化されて、(i)第1の電力レベルのRF電流を誘
導コイルに印加し、(ii)第2の電力レベルのRF電
圧をプロセス電極に印加することによって、エネルギー
を付与されて基板に衝突するプラズマイオンを形成す
る。第1の電力レベルの第2の電力レベルに対する電力
比Prは、タングステン含有層が、基板上のパッシベー
ション材料を過度に堆積することなく異方的にエッチン
グされるように選択される。
【0009】
【発明の実施の形態】以下、添付図面に基づき、本発明
に係るSF6、CHF3及びN2を使用してタングステン
を異方的にエッチングをする方法の実施形態について説
明する。
【0010】本発明によるエッチングプロセスは、高い
異方性及び減少したパッシベーション堆積物を有し、基
板上に形成されたタングステン含有層を良好なエッチン
グ速度でエッチングする際に有用である。
【0011】図1(a)〜図1(c)を参照すると、本
発明方法は、ガラス、セラミック、金属、ポリマー、さ
らに例えばシリコンやガリウム砒素製のウエハなどの半
導体基板など種々の材料から成る基板10をエッチング
するのに用いられる。ドーピングされている又はされて
いない二酸化シリコン、窒化シリコン、BPSG、又は
PSG(通常は約100から3000 の厚さである)
などの誘電体層15が、基板10の上に形成される。基
板10は、代表的にはO2プラズマを用いることによ
り、そのシリコン含有表面上の自然酸化物層が除去され
る。厚さ100から1000 のチタン(Ti)、チタ
ンタングステン(Ti−W)又は窒化チタン(TiN)
などの薄いバリヤ又は接着層20もまた、基板10上に
堆積することができる。タングステン含有層25は、タ
ングステンターゲットからのスパッタリングによって基
板10上に堆積させることができ、又は六フッ化タング
ステン(WF6)などのタングステンソースガスから化
学気相堆積によって基板10上に堆積される。例えば、
本願明細書に組み込まれているTelford等に共同
譲受された米国特許第5,500,249号には、六フ
ッ化タングステンと二塩化シランのプラズマ強化された
化学気相堆積によりWsix薄膜を堆積させるプロセス
が説明されている。タングステン含有層25は、通常、
厚さ約500から約10000 であり、低温RFバイ
アススパッタリングプロセスによって堆積される。
【0012】従来のフォトリソグラフィ法は、タングス
テン含有層25を覆うパターン形成されたレジスト形状
30を形成するために用いられ、このレジスト層はタン
グステン含有層内でエッチングされるのが望ましい形状
の臨界的ディメンジョンに従ったディメンジョンの形状
を有する。この工程では、デュポン社製の「RISTO
N」(商品名)フォトレジストなどのレジスト層が、約
0.4ミクロンから約1.3ミクロンの厚さでタングス
テン含有層25上に施される。レジスト形状30は、レ
ジスト形状30の望ましい形状に対応するマスクを通し
て光のパターンにレジスト層が露光される、従来のフォ
トリソグラフィプロセスを用いて輪郭が定められる。エ
ッチングプロセスの後では、保護レジスト形状30によ
って、図1(b)に示すように、エッチングされたタン
グステン含有形状35が形成される。その後、残留した
レジスト形状30は、従来のレジスト除去プロセスによ
って除去され、図1(c)に示すように、エッチングさ
れたタングステン形状35を有する基板となる。
【0013】図2に概略的に示すように、カリフォルニ
ア州サンタクララのアプライドマテリアルズ社から市販
されている例えば「DPS」チャンバなどのプロセスチ
ャンバ50内で、タングステン含有層25はエッチング
される。プロセスチャンバの一部は、双方とも参考のた
め本願明細書に組み込まれている、1996年2月2日
提出の「ハイブリッドコンダクタと複数半径ドームシー
リングを備えたRFプラズマ反応器」というタイトルの
米国特許出願第08/597,445号及び1993年
2月15日提出の第08/389,889号に説明され
ている。ここに示すプロセスチャンバ50の特殊な実施
態様は、半導体基板10の処理に適するが、本発明を図
示するために提供されるだけであり、本発明の範囲を制
限するために用いられるべきではない。例えば、本発明
によるエッチングプロセスを用いて複数の基板を同時に
エッチングでき、また、半導体製造以外の製造プロセス
に用いることができる。
【0014】エッチングプロセスを実施するために、チ
ャンバ50は、代表的には約1Torr以下の低圧にま
で排気され、基板10はチャンバ内のプラズマゾーン5
5内部のサポート(支持部)52の上に置かれる。サポ
ート52の少なくとも一部は電気的に導電性であり、プ
ロセス又はカソード電極60として機能する。このカソ
ード電極60は、電気的に接地されてアノード電極65
として機能するチャンバ50の側壁と一緒になって、チ
ャンバ内でプラズマを発生させ又は電圧を印加する容量
性電場を形成するプラズマゾーン55内のプロセス電極
を形成する。ヘリウムなどの冷却ガスを内部に保持して
基板10の温度を制御する溝付きの機械式チャック又は
静電式チャックを用いて、基板10をエッチングプロセ
ス中所定の位置に保持することができる。チャンバ内の
基板10は、その下に約1から10Torrの圧力でヘ
リウムガスを流すことによって、通常は約−20℃から
30℃の温度に維持され、より好適には約−5℃から1
5℃であり、さらに好適には約0℃から5℃である。基
板温度が低いと、エッチング形状上に形成されるパッシ
ベーション薄膜の蒸発を減少させるので、エッチング形
状の断面プロファイルの制御性を増大させる。
【0015】プロセスガスは、基板10の外周に配置さ
れたガスディストリビュータ70を介してチャンバ50
中に導入され、チャンバ内の圧力は約1から約1000
mTorrに調節され、より代表的には5から30mT
orrであり、好適には約10mTorrである。エネ
ルギを付与された電磁場を誘電性、容量性又はマイクロ
波場などのプラズマゾーン55中に結合させるプラズマ
発生器を使用して、プロセスガスからプラズマを形成す
る。このプラズマ発生器は、誘電性のプラズマ源と容量
性のプラズマ源の双方を有するのが好ましい。好適には
約2MHzの周波数で、好適には約100ワットから約
3000ワットの第1の電源レベルでRF電流を用いて
操作されるコイル電源76から電力を供給されると、プ
ロセスチャンバ50に隣接する誘導コイル75は、チャ
ンバ内に誘導電場を形成する。
【0016】通常は、好ましくは約100から約200
0ワットの第2の電源レベルのRF電圧を用いて操作さ
れる電極電源78によって電力を供給されるカソード電
極60とアノード電極65を用いて、容量性Rf電場
は、チャンバ内で形成される。容量性電場は基板10の
平面に対して垂直であり、誘電的に形成されたプラズマ
種を基板10に向けて加速して、より垂直的に配向され
た異方性エッチングを基板に対して実施する。プロセス
電極60,65及び/又は誘導コイル75に印加される
RF電圧の周波数は、代表的には約50kHzから約6
0MHzであるが、約13.56MHzがより代表的に
用いられる。
【0017】プロセスチャンバ50は、向上したプラズ
マエッチング特性を持つ準遠隔プラズマゾーンを備える
ことが望ましい。この準遠隔プラズマゾーン55は、
(i)基板10、(ii)この基板に隣接したチャンバ
側壁80、及び(iii)基板10の上約100mmか
ら約175mm(4から7インチ)までの高さHのとこ
ろに頂部を持つチャンバのシーリング85によって、境
界を定められる領域により輪郭が定められる。このシー
リング85の高さは、基板の直径が異なれば変更するこ
とができ、本発明の実施形態におけるシーリング高さ
は、約150mmから約304mm(6から12イン
チ)の直径を持つ基板に適していることに注意された
い。準遠隔プラズマゾーン55は、少なくとも10,0
00cm3を有することが望ましく、約10,000か
ら約50,000cm3であればより好ましい。準遠隔
プラズマゾーンにおいては、プラズマはシーリング85
の直下かつ基板10の直上で形成される。準遠隔プラズ
マゾーン55は、その中心88が基板10の真上に約5
0から約150mmの距離に位置するのが望ましく、基
板10から約75mmより大きく約125mmより小さ
い距離にあればより好ましい。
【0018】例えばマイクロ波プラズマ装置などの従来
型の遠隔プラズマゾーンと比較して、準遠隔プラズマゾ
ーン55によって、不活性非解離イオン中への活性解離
イオンの再結合が減少すると信じられている。プラズマ
ゾーン55の中心88が基板10から遠隔にあると、プ
ラズマイオンは遠隔プラズマ源から基板10まで大きな
距離(通常は約30cmより大きい距離)を移動しなけ
ればならない。逆に、プラズマゾーン55の中心88が
基板10に近すぎる、すなわち約10cm未満しか離れ
ていない場合、解離を増加させるためにプラズマ発生器
に印加される高電力電流によって、プラズマイオンが過
度に高い運動エネルギーを持ち、この結果、エッチング
性能が制御不可能となる。また、プラズマゾーン55の
体積が小さ過ぎると、エネルギーを付与された不活性ガ
スの平均自由行程が過度に小さくなる。従って、解離プ
ラズマイオンを形成するのが困難となり、不活性ガスと
エッチャントガス分子の衝突が減少する。プラズマゾー
ンの中心が基板10から離れ過ぎている、又はプラズマ
ゾーン55の体積が大き過ぎると、プラズマゾーン内の
解離されたイオンが再結合して、基板10に実際に到達
する前に非解離種を形成する。これらの理由によって、
基板10のエッチングには準遠隔プラズマゾーン55を
用いるのが望ましい。
【0019】プロセスチャンバ50のシーリング85は
平坦でも矩形でも、弧状、円錐形、ドーム形状、又は複
数半径ドーム形状であっても良い。参考までに本願に組
み込まれる例えば1996年2月5日提出のDiana
Ma等による「複数成分合金をエッチングするための
プラズマプロセス」というタイトルの米国特許出願第0
8/596,960号中には、次の内容が説明されてい
る。すなわち、エッチャントガスの解離を増加させるよ
うにプラズマゾーン55の体積全体にわたってプラズマ
源電力を均一に分布させるためには、プロセスチャンバ
50は基板10の上に複数半径のドーム形状のシーリン
グ85を持つことが望ましい。複数半径ドーム形状シー
リング85は、基板10近傍における解離イオンの再結
合損失を平坦シーリングの場合での経験値より低い値に
減少させ、これによって、プラズマイオン密度は基板1
0全体にわたってより一様となる。この理由は、イオン
再結合損失はシーリング85に近づくことによって影響
を受けるが、ドーム形状シーリングは平坦シーリングよ
り基板中心からさらに離れるからである。
【0020】誘導コイル75は、プラズマ源電力がより
効率的に用いられ、基板10の真上でのプラズマイオン
密度が増加するように、「平坦化された」ドーム形状を
持つ複数半径ドーム形状誘導コイルという形態で、プロ
セスチャンバ50の側壁80の回りに巻かれるのが望ま
しい。この理由は、イオン密度は誘導コイル75の近傍
での選択的イオン化によって影響されるが、複数半径誘
導コイルは半球コイルより基板中心に近いからである。
別の好ましい実施態様では、複数半径ドームを有するシ
ーリング85は、少なくとも中心半径Rと中心半径R未
満の値を持つコーナ半径rとを持つが、ここでR/rは
約2から約10である。
【0021】準遠隔プラズマゾーン55内で形成される
プラズマはまた、永久磁石や電磁石のコイルなどの磁場
発生器を用いてプラズマゾーン55内に磁場を印加し、
これによってプラズマの密度と一様性を増加させる磁気
強化された反応器を用いて強化することができる。この
磁場は、参考までに本願に組み込まれる1989年6月
27日発行の米国特許第4,842,683号に説明さ
れているように、基板10の面に平行に回転する軸を持
つ回転磁場を有することが望ましい。チャンバ50内の
磁場は、プラズマ内で形成されるイオン密度を増加させ
るに十分強力であり、また、CMOSゲートなどの形状
に対する充電損傷を減少させるのに十分一様であること
が望ましい。一般に、基板表面上で測定した磁場は約5
00ガウス未満であり、より代表的には約10から約1
00ガウス、最も代表的には約10から約30ガウスで
ある。
【0022】使用済みプロセスガスとエッチャント副生
成物は、プロセスチャンバ50内で約10-3mTorr
という最小圧力を達成可能な排出システム95を介し
て、プロセスチャンバ50から排出される。スロットル
バルブ100がチャンバ50内の圧力を制御するために
排出システム中に装備されている。また、光学終点測定
技術は、検出可能なガス種に対応する特定の波長の光放
出量の変化を測定することによって、特定の層に対する
エッチングプロセスの完了を判断するためにしばしば用
いられる。プロセスガスが酸化シリコン層26と化学反
応してできるシリコン種などの検出可能な種の量が突然
に増加したり減少したりすると、それは、タングステン
含有層25のエッチングが完了しその下層のエッチング
が開始されたことを示す。
【0023】本発明によるエッチングガスの組成によっ
て、高エッチング速度で下層の二酸化シリコン層15対
して良好なエッチング選択性でタングステン含有層30
が高度に異方的にエッチングされる。このエッチングプ
ロセスは、(i)六フッ化イオウ(SF6)、(ii)
トリフルオロメタン(CHF3)、及び(iii)窒素
(N2)を有するプロセスガスを用いる。SF6ガスは、
プラズマ中で解離して、基板10上でタングステン含有
層25を化学的にエッチングする解離された原子フッ素
含有種を形成する。例えば、タングステン含有材料はフ
ッ素含有イオンと中性イオンによってエッチングされ
て、チャンバ50から排出される揮発性WFx種を形成
する。SF6ガスは、エッチング異方性とエッチング選
択性を強化する本装置の準遠隔プラズマゾーン内におい
て、非解離フッ素イオンに対する解離フッ素イオンの比
を高くすると信じられている。
【0024】プロセスガスは本質的にはSF6、CHF3
及びN2から成ることが望ましい。CHF3とN2をSF6
ガスに添加すると、エッチング形状35の臨界的ディメ
ンジョンがほとんど又は全く変化することなく、タング
ステン含有層25がかなり高度な異方性でエッチングさ
れることが発見されている。臨界的ディメンジョン(C
D、微小寸法)は予め決定され、集積回路の設計におい
てエッチングされる形状の好ましいディメンジョンであ
り、これを用いてエッチング済み形状の電気的特性が決
定される。臨界的ディメンジョンは、その電気的特性に
重大な影響を及ぼすエッチング形状のディメンジョンで
ある。例えば、相互接続金属線の電気抵抗は、エッチン
グされた形状の断面の面積、特にエッチングされた形状
の高さと幅に比例する。エッチングされた形状のディメ
ンジョンがエッチング技術の進歩によって減少するにつ
れて、相互接続線の断面積は、希望の電気抵抗レベルを
実現する所望のディメンジョン近くに維持すべき臨界デ
ィメンジョンとなる。このようにして、形状間の間隔の
関数として変化するテーパ断面すなわち断面プロファイ
ル又は形状のプロファイルの他の変化は、近年の集積回
路においてはもはや受け入れられない。臨界的ディメン
ジョンの測定は、代表的には、エッチングの前後で基板
の断面走査電子マイクログラフを用いて実行される。ブ
ランケットタングステン層25上に形成されるレジスト
形状30の平均幅(Wr)は、エッチングに先だって測
定され、エッチング後には、エッチングされた形状35
の第2の幅(We)が測定される。臨界ディメンジョン
損失は、2つのディメンジョンの差(Wr−We)であ
り、臨界ディメンジョン損失百分率(%)は、(Wr
e)/Wr×100%である。最小臨界ディメンジョン
は、エッチング形状35の断面の最小幅の平均値であ
る。臨界ディメンジョン損失を300 未満に維持する
のが望ましく、より好ましくは100 未満に維持する
のが望ましい。さらに、臨界ディメンジョン損失百分率
を4%未満にするのが望ましく、より好ましくは2%未
満にするのが望ましい。
【0025】本発明によるプロセスガスによって、エッ
チングされた形状の臨界ディメンジョンが良好に制御さ
れ、形状が高度に異方的にエッチングされる。本質的に
SF6、CHF3、N2から成るプロセスガスは、プラズ
マ内で十分な分量の「パッシベーション用の」重合体種
(通常は、重合体種を含むCxyz)を形成して、形
状35のエッチングされた側壁40を保護するパッシベ
ーション薄膜を形成し、エッチング形状35の等方的エ
ッチング、テーパ形成及びアンダーカットを減少させる
と信じられている。同時に、パッシベーション薄膜の厚
さを制御して、エッチングされたタングステン形状の上
に過度に厚いパッシベーション薄膜が形成されないよう
にする。エッチングされた形状上に形成されたパッシベ
ーション用の重合体の薄膜の厚さを測定することは、困
難である。しかしながら、過度に厚いパッシベーション
薄膜は、底部で厚く頂部で薄いテーパの付いたプロファ
イルを持ったエッチング形状をもたらすことが判ってい
る。パッシベーション薄膜の堆積物の厚さを制御すると
はいえ、CHF3ガスとN2ガスを添加しても、エッチン
グ選択性を減少させることはない(選択性は、二酸化シ
リコン下層15のエッチング速度が増すと減少する)。
窒素ガスは、SF6ガスとCHF3ガスの解離速度を増す
と信じられている。解離したイオンと中性種の数が増す
と、タングステン含有層25のエッチング速度が増加
し、さらに、新たにエッチングされた形状35の側壁4
0に堆積されたCxyzパッシベーション種の量が増
加し、これによって、エッチングされた形状の臨界ディ
メンジョンを維持する。活性プラズマの原子と分子の成
分は、分析するのが困難なので、揮発性パッシベーショ
ン種の性状又は組成を正確に決定することは難しい。
【0026】従って、CHF3ガスとN2ガスの合成流量
に対するSF6の流量の比を選択することによって、エ
ッチング速度を制御し、エッチングを高度に異方性のあ
るものとし、さらにエッチング形状35上に堆積される
パッシベーション薄膜の厚さを制限する。CHF3ガス
とN2ガスの合成流量に対するSF6の流量の比が過度に
高いと、エッチング速度が、特にエッチングプロセスの
終わりで制御不可能となる。これは、解離したフッ素種
の数が増すからである。しかしながら、CHF3ガスと
2ガスの合成流量に対するSF6の流量が過度に低い
と、エッチング形状35の側壁40上に形成されたパッ
シベーション堆積物が除去されて形状のエッチングが等
方性のものとなり、円錐形のテーパ形状となってしま
う。従って、CHF3ガスとN2ガスの合成流量に対する
SF6の流量の比を、解離されたエッチングフッ素含有
種の非エッチングパッシベーション種に対する比を十分
な値に維持するレベルに保って、希望されるレベルの異
方性と制御されたエッチング速度とで、タングステン含
有層25を迅速にエッチングする。
【0027】また、別の成分を持つプロセスガスの組成
と体積流量も選択され、基板10の面に対して少なくと
も約88゜、より好ましくは約89゜から約90゜の角
度(α)を成す平滑な表面を持った側壁40を有する異
方的にエッチングされた形状35を提供する。側壁40
を直線的なものとするために、タングステン含有層を実
質的に垂直にエッチングすると、形状35が異方的にエ
ッチングされる。エッチング形状35の側壁40が過度
にエッチングされると、壁が内側又は外側に傾斜して好
ましくない。エッチャントガス内の解離した種が結合し
て新たにエッチングされた形状35の側壁40上にパッ
シベーション層を堆積させ、側壁40がそれ以上エッチ
ングされるのが制限されると、エッチングは高度に異方
的なものとなる。異方的エッチングは、エッチャントプ
ラズマの中(基板10に対して直角の電場)の荷電され
た種の運動エネルギを高度に方向性のあるものとするこ
とによっても得られるが、これにより、プラズマ種はエ
ネルギを付与されて、垂直エッチング方向から基板材料
に衝突してこれを除去してしまう。しかしながら、別の
材料を高度なエネルギを持つプラズマ種により高いエッ
チング速度でスパッタエッチングすると、エッチング選
択性を制御することがほとんど又は全く不可能となる。
これらの理由によって、他の材料の下層に対するタング
ステン含有材料をエッチングする高度なエッチング選択
比を併せ持つ高度に異方的なエッチングを得ることは困
難である。
【0028】これらの理由によって、プロセスガスの体
積流量もまた、下層の二酸化シリコン層15に対する高
度なエッチング選択比でタングステン含有層25をエッ
チングするように選択される。エッチング選択比とは、
タングステン含有層25のエッチング速度の、下層二酸
化シリコン層24のエッチング速度に対する比である。
基板10の全体にわたって厚い部分的に入り組んだ形状
を持つタングステン含有層25構造に対しては、高度な
エッチング選択比を持つことが特に望ましい。エッチン
グプロセスが完了間近になると、タングステン含有層2
5の薄い部分のエッチングが完了し、その下層の二酸化
シリコン層のエッチングが始まり、その一方ではタング
ステン含有層25の厚い部分がまたエッチング中であ
る。こうなるためには、薄いタングステン含有層25部
分の下層のシリコン含有層のエッチング速度がタングス
テン含有層のエッチング速度に対して十分遅いことが必
要であり、これによって、厚いタングステン含有層25
のエッチングが完了する前に二酸化シリコン層のエッチ
ングが完了しないようになる。タングステン含有層25
のエッチング速度を二酸化シリコン層15のエッチング
速度に対して速くすることが望ましい。好適には、下層
の二酸化シリコン層15に対するエッチング選択比を少
なくとも約1.2にすることが望ましく、より好適に
は、少なくとも1.5にすることが望ましい。
【0029】SF6:CHF3:N2の好ましい体積流量
は、約1:1:1から約8:1:1であり、約2:1:
1から約5:1:1であればより好ましく、約4:1:
1であれば最も好ましい。CHF3とN2の合成体積流量
に対するSF6の体積流量の比は、通常は約0.5:1
から約3:1であり、約2:1であればより好ましい。
本願明細書に示すプロセスチャンバの寸法の場合では、
SF6の流量は約30から約120sccmが好まし
く;CHF3の流量は約10から約40sccmが好ま
しく;N2の流量は約10から約50sccmが好まし
い。また、N2の流量はCHF3の流量の±50%以内に
維持するのが好ましく、CHF3の流量の約20scc
m以内であればより好ましい。本願明細書に示すプロセ
スチャンバ寸法の場合、プロセスガスの全流量は、約1
00から200sccmであるのが好ましい。しかしな
がら、プロセスガスの流量はプロセスチャンバの寸法に
よって異なり、本発明と等価な機能及び/又はエッチン
グ特性を提供する異なった寸法のチャンバの場合におけ
る流量も、本発明の範囲に含まれる。
【0030】あるプロセスでは、高度に電気陰性なSF
6ガスから形成されたプラズマの安定性を促進するため
に、アルゴンをSF6に付加しても良い。これは、エッ
チング要素としてのタングステン層又は基板をエッチン
グする場合はいくぶん好ましいが、これによって高度に
電気陰性な六フッ化イオウガス中でプラズマが不安定と
なる。プラズマが不安定であるということは、プラズマ
内の不安定な電子とイオンのレベルによってもたらされ
る明るいプラズマグロー領域と暗いプラズマグロー領域
が散発的に又は間欠的に形成されることを意味する。プ
ロセスガス分子に衝突し、これをイオン化し、及び/又
は解離するエネルギ種を添加するので、アルゴンガスは
プラズマの安定性を促進すると信じられている。しかし
ながら、アルゴンガスを添加することは、プラズマが添
加される不活性ガスなしでも十分安定である場合は好ま
しくない。
【0031】準遠隔プラズマ発生器は、バイアス電力に
対する電源電力の特定の比で操作されると、堆積速度に
対するエッチング速度のバランスをとりパッシベーショ
ン堆積物を除去して、高度に異方的なエッチングをもた
らす。誘導コイル75に印加される第1の(すなわち電
源の)電流電力レベルのプロセス電極60、65に印加
される第2の(すなわちバイアス)電圧電力レベルに対
する比Prもまた、エッチング形状35上にパッシベー
ション薄膜を過度に堆積することなくタングステン含有
層25を異方的にエッチングするエッチャントプラズマ
の機能を強化するように選択される。電力比Prが高い
と(誘導コイル75に印加される電流のレベルを増加す
ることによって、又はバイアスプロセス電極に印加され
る電圧の第2電力レベルを減少させることによって得ら
れる)、解離されるフッ素化エッチャント種の量が増し
て、エッチング形状がさらに等方的となる。逆に、電力
比Prが低いと(コイルに対する第1の電力レベルが低
かったり、バイアス電極電力レベルが高いと得られ
る)、堆積されたパッシベーション薄膜が過度にかつ不
均一にスパッタリングされ、エッチャントガスの解離が
不十分となって、その結果、エッチングがより等方的と
なる。通常は、プロセス電極60と65に印加されるR
F電圧の第2の電力レベルが増すと、プラズマ種に対す
る運動衝撃エネルギが増し、基板10と堆積されたパッ
シベーション薄膜がさらにスパッタリングされることに
なる。電源電力のバイアス電力に対する好ましい電力比
rは、少なくとも約4:1であり、より好ましくは約
8:1から約40:1である。プラズマが、プラズマゾ
ーン55に隣接した誘導コイル75に対して約200か
ら2000ワットの第1の電力レベルで電流を印加する
ことによって形成されればより好ましく、約1400±
200ワットであればさらに好ましい。約5から500
ワットの電力レベル、より好ましくは約40ワットの電
力レベルで電圧を印加することにより、プラズマイオン
は基板10に引き付けられ、プラズマゾーン内で電極6
0と65を処理する。
【0032】基板10上のタングステン層中でエッチン
グされた形状の断面図と斜視図を示す走査型電子顕微鏡
(SEM)写真がとられている。これらのSEM写真の
示すところでは、本発明によるプロセスガスの組成を用
いたために、予想外な結果が得られたことが分かった。
これらの実験では、二酸化シリコン層で覆われた6イン
チのシリコンウエハが基板10として用いられた。基板
10は、約600nmの厚さを持つブランケットタング
ステン層でコーティングされた。プロセスガスがチャン
バ内に導入されると、チャンバ圧力は10mTorrに
保持された。基板10は、8Torrの圧力でヘリウム
を基板10の下に流し込むことによって、基板10は5
゜Cの温度に維持された。誘導コイルの電源電力レベル
は1400ワットに維持され、プロセス電極のバイアス
電力レベルは60ワットに維持された。エッチング後
に、形状の上の残留レジストが従来のレジスト除去方法
で除去された。結果を表1に示す。
【0033】
【表1】 表1は、前記基板上でエッチングされた形状の断面上で
測定されたディメンジョンを示す。臨界ディメンジョン
の測定は、エッチングの前後で電子顕微鏡(SEM)写
真の断面図を用いて行った。SEM写真を用いて、エッ
チングに先だってブランケットタングステン層上に形成
されたレジスト形状30の平均幅(Wr)を測定した。
エッチング後に、エッチング形状35の第2の幅
(We)を測定した。臨界ディメンジョン損失は、差
(Wr−We)であり、臨界ディメンジョン損失%は、
(Wr−We)/Wr×100%である。最小臨界ディメ
ンジョンは、エッチング形状35の断面全体で最小の幅
の平均値として測定した。
【0034】60sccmのSF6と30sccmのC
HF3から成るプロセスガスを用いてエッチングされた
タングステン形状の断面プロファイルのSEM写真を撮
影した。このエッチャントガスには、窒素は添加しなか
った。これらのSEM写真から、エッチング形状35の
側壁40は一般にテーパが付いており、形状の頂部から
底部にかけて外側に傾斜していることが分かったが、こ
れは好ましい現象ではない。その上、エッチング形状
は、形状の高さの約3/4の所に狭いボトルネック領域
を有する。
【0035】例1のエッチングされた形状35に対して
行われたディメンジョンの測定結果を表1に示すが、窒
素は添加されていないSF6とCHF3を有するプロセス
ガスによる結果である。表1には、エッチングがより等
方的なものとなり、臨界ディメンジョンの幅は上層のレ
ジスト形状より小さくなっていることが示されている。
具体的には、エッチング形状35は最小臨界ディメンジ
ョンが0.24μmであり、臨界ディメンジョン損失が
約−800 と高く、臨界ディメンジョン損失%が25
%であった。このような高い臨界ディメンジョン損失
は、エッチングされた形状が反射性で幅が狭く、又はそ
の断面が不均一であるため、好ましくない。断面が狭く
なると、面積が減少してエッチング形状の抵抗が増大す
る。また、臨界ディメンジョンの損失が比較的大きい
と、基板10上に形成される集積回路を正確に設計、製
造できることが妨げられる。
【0036】例2では、60sccmのSF6と30s
ccmのN2からなるエッチャントガスが用いられた。
CHF3はこのエッチャントガスに添加されなかった。
このような組成のプロセスガスは、形状の頂部から底部
にかけて僅かに外側にテーパを有する側壁40を持つエ
ッチング形状35が得られ、例1のそれより良好な結果
であった。これは、異方的エッチングの程度が少し高度
になったことを示す。これらのエッチングされた形状3
5のディメンジョン測定値には、表1に示すように、
0.3μmの最小臨界ディメンジョン、200 の臨界
ディメンジョン損失、6.3%の臨界ディメンジョン損
失%が含まれる。この形状の側壁40のプロファイル角
度は、約87゜と測定された。このプロファイル角度は
比較的低く、88゜を越える角度が望ましく、89゜か
ら90゜がさらに望ましい。また、エッチング形状35
は、粗い側壁40を持ち、高い臨界ディメンジョン損失
を示した。
【0037】例3では、本発明によるプロセスガス組
成、すなわち60sccmのSF6、15sccmのC
HF3及び15sccmのN2を用いてタングステン形状
がエッチングされた。基板10のSEM写真を見れば、
このプロセスガス組成によって、形状のエッチングが予
期しない高い異方性がもたらされたことが分かる。ま
た、形状は、その頂部から底部にかけて実質的にテーパ
のない実質的に直角な側壁40を持っている。最小臨界
ディメンジョン損失は0.32μmと比較的低く、臨界
ディメンジョン損失は50 未満であった。臨界ディメ
ンジョン損失%は1.5%であり、これは、他のエッチ
ャントガス組成による臨界ディメンジョン損失%よりも
約4から10倍以上の低い値である。さらに、89゜か
ら90゜という好ましい範囲のプロファイル角度が得ら
れ、このプロファイルは実質的に均一に垂直な側壁40
を有する。エッチング形状35の側壁40は、ぎざぎざ
のエッジを持たない比較的平滑なものであった。これら
の予想外な異方的エッチングとエッチング形状35のす
ばらしい断面プロファイルの結果は、本発明の長所を証
明するものである。
【0038】本発明はその好ましい実施態様に関してか
なり詳述したが、他の変更例も可能である。例えば、マ
イクロ波プラズマ源を用いてプラズマを形成することが
可能であり、等価なガスを前述した気体の代わりに用い
てもよい。従って、特許請求の範囲は、本願明細書中の
好ましい実施態様の説明を制限するものではない。
【図面の簡単な説明】
【図1】本発明によるタングステンを異方的にエッチン
グする方法を適用する基板を示す概略断面図であり、
(a)はタングステン層とその上にパターン形成された
レジスト層を持つ基板の部分概略断面図;(b)は、実
質的に異方的にエッチングされた形状を示すタングステ
ン層のエッチングの後の基板の部分概略断面図;(c)
は、レジスト層が剥がされた後の基板の部分概略断面図
である。
【図2】本発明によるタングステンを異方的にエッチン
グする方法に適用できるプロセスチャンバを示す概略断
面図である。
【符号の説明】
10…基板、15…誘電体層、20…接着層、25…タ
ングステン含有層、30…レジスト形状、35…タング
ステン含有形状、40,80…側壁、50…プロセスチ
ャンバ、52…サポート、55…プラズマゾーン、6
0,65…プロセス電極、70…ガスディストリビュー
タ、75…誘導コイル、76…コイル電源、78…電極
電源、85…シーリング、88…中心、95…排出シス
テム。
フロントページの続き (72)発明者 ポール ヘルツ アメリカ合衆国, カリフォルニア州, サニーヴェイル, ヴァレリアン コート 1248, ナンバー1 (72)発明者 シャン−チャン ダン アメリカ合衆国, カリフォルニア州, サンタ クララ, ジャクソン ストリー ト 1385 (72)発明者 シャオビン ダイアナ マ アメリカ合衆国, カリフォルニア州, サラトガ, キルト コート 19600

Claims (8)

    【特許請求の範囲】
  1. 【請求項1】 基板上のタングステン含有層を異方的に
    エッチングする方法であって、 (a) プラズマゾーン内に前記基板を配置する工程
    と; (b) 前記プラズマゾーン内に六フッ化イオウ(SF
    6)、トリフルオロメタン(CHF3)及び窒素(N2
    を含むプロセスガスを導入する工程と;及び (c) 前記プロセスガスからプラズマを形成し、前記
    基板上のタングステン含有層をエッチングする工程と;
    を含むことを特徴とする方法。
  2. 【請求項2】 前記タングステン含有層を実質的に異方
    的にエッチングし、4%未満の臨界ディメンジョン損失
    及び前記基板の表面に対して少なくとも約88゜の角度
    を成す側壁を持つ形状が、前記基板上の過度のパッシベ
    ーション堆積物を形成することなくエッチングされるよ
    うに、SF6:CHF3:N2の体積流量比が選択される
    ことを特徴とする請求項1に記載の方法。
  3. 【請求項3】 SF6:CHF3:N2の前記体積流量比
    は、1:1:1から8:1:1であることを特徴とする
    請求項2に記載の方法。
  4. 【請求項4】 SF6:CHF3:N2の前記体積流量比
    は、2:1:1から5:1:1であることを特徴とする
    請求項3に記載の方法。
  5. 【請求項5】 前記プロセスガスは、実質的にSF6
    CHF3及びN2から成ることを特徴とする請求項1〜4
    のいずれか1項に記載の方法。
  6. 【請求項6】 前記プロセスガスをイオン化し、(i)
    誘導コイルに対して第1の電力レベルにあるRF電流を
    印加し、(ii)プロセス電極に対して第2の電力レベ
    ルにあるRF電圧を印加することによって、前記基板に
    エネルギーが付与されて衝突するプラズマイオンを形成
    し、前記第2の電力レベルに対する前記第1の電力レベ
    ルの電力比Prは、少なくとも4:1であることを特徴
    とする請求項1に記載の方法。
  7. 【請求項7】 前記電力比Prは、8:1から40:1
    であることを特徴とする請求項6に記載の方法。
  8. 【請求項8】 前記第1の電力レベルは200から20
    00ワットであり、前記第2の電力レベルは5から50
    0ワットであることを特徴とする請求項7に記載の方
    法。
JP10093507A 1997-04-04 1998-04-06 六フッ化イオウ、トリフルオロメタン及び窒素を使用してタングステンを異方的にエッチングをする方法 Withdrawn JPH10326774A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/833,413 US5866483A (en) 1997-04-04 1997-04-04 Method for anisotropically etching tungsten using SF6, CHF3, and N2
US08/833413 1997-04-04

Publications (1)

Publication Number Publication Date
JPH10326774A true JPH10326774A (ja) 1998-12-08

Family

ID=25264344

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10093507A Withdrawn JPH10326774A (ja) 1997-04-04 1998-04-06 六フッ化イオウ、トリフルオロメタン及び窒素を使用してタングステンを異方的にエッチングをする方法

Country Status (6)

Country Link
US (1) US5866483A (ja)
EP (1) EP0869546A1 (ja)
JP (1) JPH10326774A (ja)
KR (1) KR19980081057A (ja)
SG (1) SG75831A1 (ja)
TW (1) TW386259B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003273083A (ja) * 2002-03-15 2003-09-26 Matsushita Electric Ind Co Ltd プラズマ処理装置
JP2015130385A (ja) * 2014-01-07 2015-07-16 株式会社日立ハイテクノロジーズ プラズマエッチング方法

Families Citing this family (174)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6008139A (en) * 1996-06-17 1999-12-28 Applied Materials Inc. Method of etching polycide structures
US6322714B1 (en) 1997-11-12 2001-11-27 Applied Materials Inc. Process for etching silicon-containing material on substrates
US6136211A (en) 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US6872322B1 (en) 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
US6372151B1 (en) 1999-07-27 2002-04-16 Applied Materials, Inc. Storage poly process without carbon contamination
US6613682B1 (en) 1999-10-21 2003-09-02 Applied Materials Inc. Method for in situ removal of a dielectric antireflective coating during a gate etch process
US6527968B1 (en) 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
JP2002025986A (ja) * 2000-07-06 2002-01-25 Matsushita Electric Ind Co Ltd ドライエッチング方法
US6440870B1 (en) * 2000-07-12 2002-08-27 Applied Materials, Inc. Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
US6423644B1 (en) * 2000-07-12 2002-07-23 Applied Materials, Inc. Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
US6905800B1 (en) 2000-11-21 2005-06-14 Stephen Yuen Etching a substrate in a process zone
US6852242B2 (en) 2001-02-23 2005-02-08 Zhi-Wen Sun Cleaning of multicompositional etchant residues
US6989108B2 (en) * 2001-08-30 2006-01-24 Micron Technology, Inc. Etchant gas composition
US20050287925A1 (en) * 2003-02-07 2005-12-29 Nathan Proch Collectible item and code for interactive games
US20040072081A1 (en) * 2002-05-14 2004-04-15 Coleman Thomas P. Methods for etching photolithographic reticles
US7109122B2 (en) * 2002-11-29 2006-09-19 Tokyo Electron Limited Method and apparatus for reducing substrate charging damage
US8293430B2 (en) * 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7385297B1 (en) * 2005-11-14 2008-06-10 National Semiconductor Corporation Under-bond pad structures for integrated circuit devices
KR100831572B1 (ko) * 2005-12-29 2008-05-21 동부일렉트로닉스 주식회사 반도체 소자의 배선 형성방법
DE102006051550B4 (de) * 2006-10-30 2012-02-02 Fhr Anlagenbau Gmbh Verfahren und Vorrichtung zum Strukturieren von Bauteilen unter Verwendung eines Werkstoffs auf der Basis von Siliziumoxid
US8262920B2 (en) * 2007-06-18 2012-09-11 Lam Research Corporation Minimization of mask undercut on deep silicon etch
US8118946B2 (en) * 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
US7629264B2 (en) * 2008-04-09 2009-12-08 International Business Machines Corporation Structure and method for hybrid tungsten copper metal contact
US20100144140A1 (en) * 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US8153520B1 (en) 2009-08-03 2012-04-10 Novellus Systems, Inc. Thinning tungsten layer after through silicon via filling
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8119527B1 (en) 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) * 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9418869B2 (en) 2014-07-29 2016-08-16 Lam Research Corporation Method to etch a tungsten containing layer
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
SG11202106002VA (en) 2018-12-05 2021-07-29 Lam Res Corp Void free low stress fill
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN115724591A (zh) * 2021-08-31 2023-03-03 广东艾檬电子科技有限公司 基于电场控制的微孔加工方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0824114B2 (ja) * 1984-11-09 1996-03-06 株式会社日立製作所 プラズマエッチング方法
US4666555A (en) * 1985-08-23 1987-05-19 Intel Corporation Plasma etching of silicon using fluorinated gas mixtures
US4915777A (en) * 1987-07-16 1990-04-10 Texas Instruments Incorporated Method for etching tungsten
JPS6432627A (en) * 1987-07-29 1989-02-02 Hitachi Ltd Low-temperature dry etching method
US4994410A (en) * 1988-04-04 1991-02-19 Motorola, Inc. Method for device metallization by forming a contact plug and interconnect using a silicide/nitride process
JPH0383335A (ja) * 1989-08-28 1991-04-09 Hitachi Ltd エッチング方法
US4948462A (en) * 1989-10-20 1990-08-14 Applied Materials, Inc. Tungsten etch process with high selectivity to photoresist
US5035768A (en) * 1989-11-14 1991-07-30 Intel Corporation Novel etch back process for tungsten contact/via filling
US5110411A (en) * 1990-04-27 1992-05-05 Micron Technology, Inc. Method of isotropically dry etching a poly/WSix sandwich structure
US5013398A (en) * 1990-05-29 1991-05-07 Micron Technology, Inc. Anisotropic etch method for a sandwich structure
EP0463373A3 (en) * 1990-06-29 1992-03-25 Texas Instruments Incorporated Local interconnect using a material comprising tungsten
JPH04105320A (ja) * 1990-08-23 1992-04-07 Sharp Corp 半導体装置の製造方法
JP2964605B2 (ja) * 1990-10-04 1999-10-18 ソニー株式会社 ドライエッチング方法
US5164330A (en) * 1991-04-17 1992-11-17 Intel Corporation Etchback process for tungsten utilizing a NF3/AR chemistry
JP3210359B2 (ja) * 1991-05-29 2001-09-17 株式会社東芝 ドライエッチング方法
US5358601A (en) * 1991-09-24 1994-10-25 Micron Technology, Inc. Process for isotropically etching semiconductor devices
US5176792A (en) * 1991-10-28 1993-01-05 At&T Bell Laboratories Method for forming patterned tungsten layers
US5354417A (en) * 1993-10-13 1994-10-11 Applied Materials, Inc. Etching MoSi2 using SF6, HBr and O2
US5521119A (en) * 1994-07-13 1996-05-28 Taiwan Semiconductor Manufacturing Co. Post treatment of tungsten etching back
US5514622A (en) * 1994-08-29 1996-05-07 Cypress Semiconductor Corporation Method for the formation of interconnects and landing pads having a thin, conductive film underlying the plug or an associated contact of via hole
US5779926A (en) * 1994-09-16 1998-07-14 Applied Materials, Inc. Plasma process for etching multicomponent alloys
US5747383A (en) * 1995-09-05 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd Method for forming conductive lines and stacked vias

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003273083A (ja) * 2002-03-15 2003-09-26 Matsushita Electric Ind Co Ltd プラズマ処理装置
JP2015130385A (ja) * 2014-01-07 2015-07-16 株式会社日立ハイテクノロジーズ プラズマエッチング方法

Also Published As

Publication number Publication date
TW386259B (en) 2000-04-01
KR19980081057A (ko) 1998-11-25
EP0869546A1 (en) 1998-10-07
US5866483A (en) 1999-02-02
SG75831A1 (en) 2000-10-24

Similar Documents

Publication Publication Date Title
US5866483A (en) Method for anisotropically etching tungsten using SF6, CHF3, and N2
US6583065B1 (en) Sidewall polymer forming gas additives for etching processes
US6008139A (en) Method of etching polycide structures
US6004884A (en) Methods and apparatus for etching semiconductor wafers
JP3215151B2 (ja) ドライエッチング方法
US5843847A (en) Method for etching dielectric layers with high selectivity and low microloading
KR100849707B1 (ko) 탄소-도우핑된 저유전체들의 선택적 식각
US5779926A (en) Plasma process for etching multicomponent alloys
US5814563A (en) Method for etching dielectric using fluorohydrocarbon gas, NH3 -generating gas, and carbon-oxygen gas
US6228775B1 (en) Plasma etching method using low ionization potential gas
US6489248B2 (en) Method and apparatus for etch passivating and etching a substrate
US5880033A (en) Method for etching metal silicide with high selectivity to polysilicon
US5883007A (en) Methods and apparatuses for improving photoresist selectivity and reducing etch rate loading
JP3213803B2 (ja) 高密度プラズマエッチング装置を用いた半導体のスロープコンタクトホール形成方法
US5846443A (en) Methods and apparatus for etching semiconductor wafers and layers thereof
US20030092280A1 (en) Method for etching tungsten using NF3 and Cl2
US4784719A (en) Dry etching procedure
US6117764A (en) Use of a plasma source to form a layer during the formation of a semiconductor device
US7709343B2 (en) Use of a plasma source to form a layer during the formation of a semiconductor device
US6228774B1 (en) High aspect ratio sub-micron contact etch process in an inductively-coupled plasma processing system
JP2000216148A (ja) ドライエッチングを含むデバイスの製作プロセス
Shiau et al. Method for anisotropically etching tungsten using SF6 CHF3 and N2
JPH0637058A (ja) ドライエッチング方法

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20050607