JPH03204958A - 半導体集積回路の電源配線設計方法及び電源配線設計装置 - Google Patents

半導体集積回路の電源配線設計方法及び電源配線設計装置

Info

Publication number
JPH03204958A
JPH03204958A JP2183981A JP18398190A JPH03204958A JP H03204958 A JPH03204958 A JP H03204958A JP 2183981 A JP2183981 A JP 2183981A JP 18398190 A JP18398190 A JP 18398190A JP H03204958 A JPH03204958 A JP H03204958A
Authority
JP
Japan
Prior art keywords
power supply
circuit
wiring
power
analysis
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2183981A
Other languages
English (en)
Other versions
JP2901087B2 (ja
Inventor
Takashi Mihashi
隆 三橋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2183981A priority Critical patent/JP2901087B2/ja
Priority to US07/599,030 priority patent/US5404310A/en
Publication of JPH03204958A publication Critical patent/JPH03204958A/ja
Application granted granted Critical
Publication of JP2901087B2 publication Critical patent/JP2901087B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/06Power analysis or power optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 [発明の目的コ (産業上の利用分野) この発明は、コンピュータを用いた半導体集積回路の電
源配線設計方法及び電源配線設計装置に関する。
(従来の技術) 大規模集積回路のレイアウト設計には、コンピュータを
用いたレイアウトシステムが広く利用されている。そし
て、従来用いられているレイアウトシステムにおいては
、レイアウト処理の手順はフロアプランによってチップ
上の概略レイアウトを決定し、電源線やクロック等の特
種配線の経路を決定し、それらのフロアプランに従って
一般のセルの配置の詳細を決定し、配線を行なうように
している。
従来より一般配線については、各種の自動配線アルゴリ
ズムが提案され利用されている。しかしながら、電源配
線に関しては、一般信号と異なり、各部分によって流れ
る電流が異なり、配線幅を変化させる等の必要があるた
めに自動設計化するのが難しく、提案されている電源配
線設計方法は数少ないが、流れる電流量に応じて配線幅
を変化させる電源配線を行なう例として、(VLSI 
85: Proc、 IFIP TCIO/WGL0.
5 fNT’L C0NF、 on VLSl、 pp
389−399. Tokyo JAPAN、Aug、
 1.985)に旧rich Lauther  によ
り提案されている、”CHANNEL ROUTING
 IN A CELL ENVIROMENT”  と
題された報告がある。
この報告の中では、金属−層間線が前提とされ、電源・
グランド配線の構造について特別なツリー構造を考え、
相互にショートを発生しないように決められている。ま
た、配線幅については、その電源・グランド配線に流れ
る電流を予測して決定する方式が提案されている。
これに対して、ゲートアレイ等においては、上記の方式
とは異なった電源・グランド配線方式が採用されている
。第3図に示すようなゲートアレイによく利用される電
源・グランド配線の方式はマクロセルと呼ばれる、あら
かじめ設計された単位機能の要素を列状または行状に配
列し、その上に電源線、グランド線を通す方式である。
そして、スタンダードセル方式の場合にはマクロセル上
に電源線、グランド線が作り付けられており、マクロセ
ルを側面を接して並べるだけで電源・グランド配線が完
成するように工夫されていることもある。
このようなゲートアレイやスタンダードセル方式の半導
体集積回路の製造においてはほとんどの場合、2層以上
の金属配線層が利用されるために電源・グランド配線に
おいても垂直方向を第1配線層、水平方向を第2配線層
等と分けて利用する場合が多い。
ところで、ゲートアレイやスタンダードセル方式の集積
回路の設計においては、配線に利用できるスペースが少
ないために電源・グランド配線の幅もできる限り狭くす
ることが望ましい。しかし、配線の幅があまり狭くなる
と、エレクトロマ′イグレーションの危険等のために十
分な電流を流せない問題や、電源・グランド電位の変動
が配線抵抗により起こる問題があった。
このような問題を避けるためには、第13図に示すよう
な本来の電源・グランド配線44.45に垂直に他の層
の配線46.47で電源補強を行なう手法が利用されて
いる。しかしながら、このような電源補強も配線のため
のスペースを必要とするので、特性上も信頼性上も問題
のない範囲で最少限にする必要があり、自動化の困難な
設計上のステップとなっており、このために、多くの場
合、設計者がグラフィックエディタ等を利用して人手に
より配線設計を行なわなければならなかった。
ところで、半導体集積回路の消費電力、電源線に流れる
電流量はチップ内の各領域において変動があるので、そ
のチップ内での消費電力分布に従って電源補強を行なう
ことができれば、配線に利用できるスペースの有効活用
ができる。
このような消費電力情報を負荷容量やトランジスタの寸
法、スイッチングの頻度等から正確に予測する手法につ
いては、昭和60年度の電子通信学会、1(導体・材料
部門全国大会の2−67で、堀親宏らが発表した[論理
回路のスイッチング確率」や、Carver Head
らの著書+Introductionto  VLSI
  systems ”  Addison−Wesl
ey  1980の340頁等に一部その手法の原理が
紹介されているが、これらの原理をCADシステムとし
て統合し、設計者か容易に利用できるようにするには種
々の技術的困難かあった。
このため、実際には、このような予測手段が設計に利用
されることは少なく、多くの場合、設計者か人手により
論理回路の各部分の消費電力を計pして電源・グランド
配線の幅を決定していた。
しかも、ゲートアレイ、スタンダードセル方式の21′
導体集積回路の設計においては、自動レイアウトをかな
り利用するために、論理回路の各部分とレイアウトされ
た部分との相互関係が不明確となり、人手により配線設
計、電源・グランド配線の幅の決定を行なう場合にはレ
イアウトの各部分の消費電力推定か難しくなり、設計上
の失敗が起こりやすく、このような失敗を恐れてできる
限り多数の電源補強を配線後に行なうようにしているが
、この効果は必ずしも定量的に検証されたものではなか
った。
さらに、従来より半導体集積回路の最適化手法としてD
onald^、 Ca1ahanの著作である −Co
w−puter−^1ded Network Des
ign  、  McGraw−Hlll。
USA(1972)の第6章に見られるように、非線形
最適化技法等を使用した手法が提案されているが、これ
らは電源線やグランド線を対象とするものではなく、主
として回路の処理対象となる信号に着目して最適化を行
なうものであった。また回路の接続構造を変化させ、つ
まり新しく電源線を追加する等の変更により補強するよ
うな手法は考えられていなかった。このため、現実的な
電源線の最適化としては不十分なものであった。
(発明が解決しようとする課題) 以上のように従来の半導体集積回路の電源配線設計手法
では、ASIC等のレイアウト設計においては、チップ
上の消費電力の分布の偏在性に着]−1してチップ上で
の配線スペースを考慮して最適な配線、つまり必要以上
に電源線、グランド線を張らずに、しかも電気的特性や
寿命に十分対応できる電源・グランド配線紅路を決定す
るのは困難である問題点があった。
そこで、このような問題点を解決するためには、チップ
上の各領域の消費電力、最大電流量を精度良く計算する
手法、この各領域の消費電力、電流量から必要な電源・
グランド配線の形態を決定して自動的にレイアウトに実
現する手法や装置、さらには設計者が独自に判断できる
ようにインタラクティブ装置によく理解できるように表
示する手法や装置の開発が求められていた。
この発明は、このような従来の技術的な課題を解決する
ためになされたもので、チップ上の各領域の消費電力、
最大電流量を精度良く計算することにより電源・グラン
ド配線の最適化のための情報を設計者に与えることがで
き、また半導体基板上の各部分領域の消費電力や最大電
流量を精度良く推i91評価し、それらの電気的な量の
半導体基板上での分布に対応して自動的に最適な電源・
グランド配線を求めることができる半導体集積回路の電
源配線設計方法及び電源配線設計装置を提供することを
目的とする。
[発明の構成コ (課題を解決するための手段) この発明の半導体集積回路の電源配線設計方法は、半導
体基板上に仮想的な格子を設定し、この格子により分割
される前記半導体基板の各部分領域毎の電気的特性を抽
出し、得られた電気的特性を基に前記各部分領域毎の回
路特性を求め、この回路特性により求められた演算結果
を表示し、この表示された前記演算結果を基に電源配線
を設計するものである。
またこの発明の半導体集積回路の電源配線設計装置は、
半導体基板上に仮想的な格子を設定する格子設定手段と
、この格子設定手段により設定された仮想的な格子によ
り分割される前記半導体基板上の各部分領域の電気的特
性を抽出する電気的特性抽出手段と、この電気的特性抽
出手段により抽出された前記各部分領域の回路特性を求
める回路特性演算手段と、この回路特性演算手段により
求められた演算結果を表示する表示手段と、この表示手
段により表示された前記演算結果に応じて電源配線設計
を行なう電源配線設計手段とを備えたものである。
さらにこの発明の半導体集積回路の電源配線設計装置は
、半導体集積回路の電源・グランド配線の回路モデルを
試行的に生成する試行回路発生手段と、前記試行回路発
生手段の生成した回路モデルの各部分領域毎の電気的特
性を解析する解析手段と、前回生成した回路モデルに対
する前記解析手段による電気的特性の解析結果と今回生
成した回路モデルに対する前記解析手段による電気的特
性の解析結果とを比較して評価する比較手段と、前記比
較手段の比較評価結果に従って、回路モデルを所望の目
的に向くように改善する方策情報を生成して前記試行回
路発生手段に与える改善方策生成手段とを備えたものと
することができる。
(作用) この発明の半導体集積回路の電源配線設計装置では、格
子設定手段により半導体基板の領域を複数の部分領域に
分割し、各部分領域毎にそこに含まれるゲートの数、ト
ランジスタの寸法、ゲートの負荷容量、部分領域のゲー
トに関連するクロック周波数等の電気的特性を電気的特
性抽出手段により抽出する。
そしてこの電気的特性抽出手段の抽出した電気的特性を
基にして、回路特性演算手段により各部分領域毎に電源
線電位、電源電流、消費電力、発熱量等の回路特性を求
め、電力電流演算手段によりそこを流れる消費電力また
は電流値を求める。
そしてさらに、表示手段により、前記回路特性演算手段
の求めた各部分領域毎の演算結果、または前記演算結果
を前記半導体基板上の横列毎、縦列毎に積算し、前記半
導体基板」二の位置と対応させて表示する。
そして、この表示手段の表示する演算結果に応じて電源
配線設計手段が電源配線設計を行なう。
またこの発明の半導体集積回路の電源配線設計装置では
、試行回路発生手段により半導体集積回路の電源・グラ
ンド配線の回路モデルを試行的に生成し、この試行回路
発生手段の生成した回路モデルの各部分領域毎の電気的
特性を、解析手段によって解析する。
そして、試行回路発生手段が前回生成した回路モデルに
対する解析手段による電気的特性の解析結果と今回生成
した回路モデルに対する解析手段による電気的特性の解
析結果とを比較手段によって比較評価し、この比較評価
結果に従って、改善方策生成手段が回路モデルを所望の
目的に向くように改善する方策情報を生成して試行回路
発生手段に与える。
こうして、この試行手順を何度か繰り返すことにより、
電源・グランド配線の最適化設計を行なつO (実施例) 以下、この発明の実施例を図に基づいて詳説する。
一般に半導体基板のチップ領域を複数の部分領域に分割
することによってチップ上での消費電力、電源電流の分
布の局所性を容易に表現できる。また部分領域における
消費電力、電源電流の計算には、その部分領域に含まれ
るゲートの数やトランジスタの大きさ、各配線の負荷容
量等の電気的特性パラメータが必要であるが、レイアウ
ト設計用CAD装置では配置された素子の位置からその
ような電子回路情報が容易に検索できるようにデータベ
ースに格納されているために、この電子回路情報を検索
することにより電気的特性パラメータを容易に知ること
ができる。またCMO5回路技術においては、クロック
周波数に比例して消費電力が増加するために部分領域に
含まれるゲートに対応するクロックの周波数を知ること
が大切であるが、それも容易に行なえる。そして、これ
らの電気的特性パラメータを基にして各部分領域の消費
電力、電源電流は容易に計算することかできる。
さらに、得られた各部分領域毎の消費電力、電源電流を
直接チップ上の位置と対応させることができることも電
源・グランド配線の最適化設計を行なう上で大きな効果
がある。そして、多くのゲートアレイ・レイアウトLS
Iのように電源線、グランド線をチップに垂直、水平に
格子状に配線する場合は、チップに対して水平軸、垂直
軸の部分区間を辺として対向するチップ辺までのスリッ
ト状の領域の消費電力、電源電流を知ることができた方
が、そのスリット状領域に電力を供給する電源線との関
連が明らかになり、便利であり、チップとの対応をとっ
ての処理も容易である。
このような理由から、この発明の実施例ではチップの水
平辺、垂直辺に対して設定された部分区間を積算して消
費電力、最大電流量、電源・グランドの電位変化をその
部分区間との対応において知ることができるようにする
さらに、上記のようにチップ上の各部分領域に供給され
る電力、電流を特定の形状の電源・グランド配線から供
給する場合を考えると、各部分領域の電圧−電流特性を
表現する素子と配線の抵抗を考えれば回路方程式を容易
に記述でき、配線上の電位、電流密度を容易に知ること
ができる。したがって、これらの情報から許容電流密度
、電圧降下を指定すれば、電源線の本数や配線幅、つま
り配線の抵抗をどのように設定すればよいか決定するこ
とができる。ただし、各部分領域の電圧電流特性を表現
する素子の非線形性等のために繰り返し改良による処理
が必要であり、また終了判断を行なう必要がある。
ところが、各部分領域における電源電圧と電源電流との
関係は、大局的に見るならば非線形な素子特性となって
しまう。このために、回路方程式を解こうとすると非線
形性のために解の収束性等で問題が起こる可能性が大き
くなる。
しかしながら、この問題においては、元来、電源の配線
を扱っており、回路の状態によって各点の電位がそう大
きく変化するとは考えられないので、近似的に別の方法
で想定した各部分領域の電位から計算した部分領域を通
過する電流と等価な電流源で置き換えて回路方程式を立
てることにより、容易に解析することができるようにな
る。
そして、正確な解析を行なうためには、逐次的な解析に
より繰り返し計算における前回の各点の電位から部分領
域を通過する電流と等価な電流源を作って線形化した解
析を行なうことによって誤差を少なくしていくことがで
き、このように線形化して等価電流源で置き換えること
により解析が非常に容易となる。
こうして得られた電位、電流分布を解析し、許容電圧降
下、エレクトロマイグレーションを考慮した許容電流密
度と解析結果の電位、電流分布の差から電源回路の配線
の抵抗値を変化させ、また新しい配線径路を生成して所
定の範囲に入れる必要があり、回路レイアウト設計に当
ってはこの操作を繰り返し行ない、最適な電源配線設計
を行なうのである。
上記の繰り返し操作の中では、抵抗値を変化させたり、
新しい電源配線径路を生成したりする必要がある。この
ためには、どの部分の配線抵抗を変化させたり、新しい
配線を追加したりすれば問題となっている部分、つまり
電源電位の降Fか所定の値より大きい部分や、電源配線
の電流密度が所定値より大きい部分等の電位や電流密度
を最も効果的に改善できるかという問題を解く必要があ
る。なお、この部分で作られた試行的な改善案は後で受
は入れられるどうか評価されることになる。
第1図はこのような考察の下に案出したこの発明の半導
体集積回路の電源配線設計装置の一実施例を示すブロッ
ク図であり、必要な情報を入力する入力部1と、設計対
象となるチップ上に仮想的な格子を設定して複数の部分
領域に分割する格子設定部2と、各部分領域毎にゲート
の数、トランジスタの寸法、ゲートの負荷容量、部分領
域のゲートに関連するクロック周波数等の電気的特性パ
ラメータを抽出する電気的特性抽出部3とを備えている
。また、電源線電位、電源電流、消費電力または発熱量
を求めるための回路方程式を作成する回路方程式作成部
4と、この回路方程式を解くための回路方程式解析部5
と、得られた演算結果を出力する出力部6と、演算結果
または求められたチップ上の縦横各列の全体的な積算値
を基に電源配線を設計する電源配線設計部7と、さらに
各部分領域毎の演算結果、さらにはチップ上に想定され
た縦横各列の全体的な積算値をチップ上の位置座標と対
応させて表示するグラフィック表示部8とを備えている
次に、上記の構成の半導体集積回路の電源配線設計装置
の動作について説明する。なお、この実施例の半導体集
積回路の電源配線設計装置の動作は、この発明の半導体
集積回路の電源配線設計方法の一実施例の説明ともなる
ものである。
第2図は第1図に示す半導体集積回路の電源配線設計装
置の動作を示すフローチャートであり、第3図は電源配
線設計を行なう半導体集積回路(チップ)9を示してい
る。
まず、ステップS1において、入力部1から電源配線設
計に必要な情報を入力し、格子設定部2により設計対象
チップの領域に対して縦横の仮想的な格子10.10を
設定して複数の部分領域に分割する。
ここで、部分領域への分割は、チップ母体の下地のトラ
ンジスタを途中で切断せず、かっできる限り論理ゲート
を含むように設定することが望ましい。また格子により
分割された部分領域内に含まれる電源線の電位が一様と
みなせる程度の大きさの格子により分割するのが望まし
い。
次にステップS2において、格子10.10により分割
された各部分領域11に含まれている論理ゲート、トラ
ンジスタを抽出する。
ここで抽出された論理ゲート、トランジスタは部分領域
毎に分類しておく。このように、格子10.10により
分割される各部分領域11に含まれるトランジスタ等を
抽出する操作は、このようなゲートアレイレイアウトシ
ステムがトランジスタ等を座標で分類された形でデータ
ベース内に保持しているために、比較的容易に実施でき
る。
次にステップS3において、各部分領域内の論理ゲート
の負荷を算出する。
この論理ゲートを流れる電流は、負荷の大きさに大きく
依存している。ただし、CMO5技術を利用した場合に
は、配線の浮遊容量、及び負荷の容量が重要である。こ
こで、抽出された負荷の大きさは電流計算のステップで
利用される。
次にステップS4において、平均スイッチング確率を計
算する。つまり、CMOSゲートアレイの場合、消費電
力はスイッチング確率に比例するため、所定の部分領域
に含まれる論理ゲートの平均的なスイッチング確率を計
算しておく必要がある。このスイッチング確率の計算方
法としては、最も直接的な方法として論理シュミレータ
を利用する方法がある。この方法では、所定のテスト系
列を論理シュミレータにかけ、すべてのゲートについて
シュミレーションにおいて発生するイベントの数を記録
しておく。
このようにしてシュミレーションをある時間続けていく
ことにより、各ゲート毎の所定時間内に起こったイベン
トの数を知ることができる。そして、次には、着目した
格子に含まれるゲートすべてのイベント数の総和をとり
、評価した時間で割ることにより平均イベント数が分か
り、スイッチング確率が計算できる。
これとは別に、部分領域に含まれる論理ゲートに信号を
供給しているフリップフロップのクロック信号の周波数
等から論理ゲートの平均スイッチング確率を計算するこ
ともできる。
次にステップS5において、次の電流計算のステップで
利用するためにすべての部分領域についてゲートを整理
し、電流計算用のパラメータのテーブルを準備する。
そして、以上のステップ83〜S5は、すべての部分領
域11についての平均スイッチング確率の計算が完了す
るまで繰り返し実行される(ステップS6)。
なお、ここまでのステップS2〜S6は電気的特性抽出
部3により実行される。
次のステップS7〜Sllでは各部分領域11を流れる
電源電流を計算し、各部分領域の電位、電源線を流れる
電流について回路方程式(節点方程式)を立てて、解析
処理する。ただし、ステッブS11て繰り返し解析する
ようになっているか、これは各部分領域を流れる電流の
電流−電圧特性が必ずしもよく分かっていない場合でも
計算できるように繰り返し収束計算を行なうためである
なお、これらの処理は、回路方程式作成部4及び回路方
程式解析部5により実行される。
まず、ステップS7で各部分領域11に対して電力消費
を計算するには、集積回路を構成するデバイス技術固有
の性質を利用する必要がある。例えば、6MO8技術に
おいては、ゲートがスイッチすることによる電力消費は
、次のように計算される。 Ne1l H,E、 We
ste  等の著書’Pr1ncipies of C
MO3VLSI Design:  A  Syste
ms Perspeetive”  AT&T Be1
l Laboratories lnc、1985によ
れば、電力消費P6は次の式で表わされる。
Pa =Ct、 ” VDn’ ・f、  ・・・(1
)ただし、CLは負荷容量であり、VDDは電源電圧、
fPは入力方形波の反復周波数である。そして、これら
のパラメータはステップS3ないしステップS4で計算
されているために容易に各ゲトの消費電力が計算できる
。そして部分領域11のすべてのゲートについて消費電
力を計算して総和をとることにより、部分領域内の消費
電力及び電流を計算できる。
ここで、VDDにどのような電位を仮定するかは、次の
ようにして行なうことができる。つまり、まず最初の繰
り返し計算においては、対象VLSIの電源電圧を仮定
する。一般にチップに与えられる電源電圧とチップ内の
電圧降下により発生する内部での電源電位は近いので、
初期値としてチップに与えられる電源電位を利用するの
が計算効率及び収束性において有利である。
なお、次回からの計算においては、前回の計算から分か
った電源線の電圧降下を含めた電位を仮定する。
続くステップS8ては、回路方程式を作る。つまり、第
4図の等価回路に示したように各部分領域11の4辺を
横切る電源線12の抵抗値を電源線幅及び抵抗率等によ
り算出し7て決定する。ここで、電源線12の形式とし
ては、チップ9上をグリッド状に覆う形式の場合を考え
たが、必ずしもこの形式に限られるわけてはない。また
見やすくるためにグランド側の配線については表示しな
かったが、同様の回路構成となる。そして、各電流源1
3の値は、前記ステップS7により得られた電流値を用
いる。
このステップS8で作成された回路方程式は、第5図に
示すような第4図の回路各部に対して、第4図の部分領
域11の座標を(IIJ)、ただし、1≦l≦N、1≦
j:i;Mであり、N、Mは縦横の格−f分割とし、I
I、を各部分領域11を流れる電流とし、さらに節点の
電位をVl、とし、各部分領域11の4辺に対応する抵
抗のアドミッタンスを5’ I−+、+、+、+  :
 3/ +、+、+、+、+  : Y +++冒、+
、1;y11.。1.1. lとして節点方程式を立て
ると、次の(2)式のようになる。
yv−t      ・・・(2) ただし、■は節点電位V、Iのベクトルであり、1は枝
を流れる電流1.1のベクトルである。Yマトリクスは
各抵抗のアドミッタンスから構成されるアドミッタンス
行列である。
次のステップS9では、回路方程式(2)を解くことに
なるが、ここで(2)式の行列を整理すると、次の式(
3)のようになる。
ただし、■、は回路の周辺部の節点の電位に対応する電
位ベクトルであり、周辺部の抵抗がないとすれば電源電
位Eである。これに対応する電流ベクトル11は周辺部
の節点からグランドに流入する電流であるが、この場合
には0である。Vlは周辺部以外の節点の電位ベクトル
であり、抵抗による電圧降下のためにEより低い電位と
なる。
また、I2は各節点からグランドに流れるステップS7
で仮定した電流の大きさであり、第4図では電流源13
として表現されているものである。
上記の(3)式をVlについて解くと、次のようになる
V2−Y22−’12  Y22−’Y21Vl −(
4)この(4)式によって電源の電位V、と各部分領域
11をグランドに向かって流れる電流I2を!4えると
、各節点の電位V2を計算することができる。また周辺
部から電源線12を介して回路内に流入する電流I。、
。、+、1(ただし、1≦i≦Nかつ、j−1またはM
であるか、または、i−1またはNかっ、1≦j≦Mで
ある)は、次のように表現される。
tO,o、1.I −Yo、o、1.+  (Vo、o
  Vl、+ )・・・(5) 次にステップS10において、ステップs9で計算され
た電位v2を各部分領域11を流れる電流を計算するス
テップs7の仮定した節点電位に代入する。
そして、ステップSllにおいて、繰り返しを停止して
もよいかどうが判定し、前回に計算した電位VIと今回
の計算による電位V1との差の2乗和が所定誤差以下に
なった場合に繰り返し計算を終了する。
次のステップS12では、結果をグラフィック表示部8
に表示するか、前記(5)式によって電源から第4図の
等価回路に流入する電流を各周辺領域14毎に知ること
ができ、この電流値を第6図に示したようにチップの概
略表示の周辺にグラフ表示する。
次にステップ313では、ステップS9.SlOで求め
られた演算結果またはこれと共にチップ9上の縦横各列
の全体的な積算値を基に電源配線を設計する。
第6図に示すものは、チップ9の概略表示の周辺4辺に
グラフの軸15を記入し、ここに(5)式で算出した電
流値Iを曲線16のようにグラフ化して表示したもので
ある。このチップ9の概略図には、単純化のために電源
側の配線のみを表示し、入出力セル上を第6図の太い線
で示す電源線17がリング状に回っており、そこがら細
い電源線18がチップ9の内部に電流を供給するように
表示している。
この表示態様は上記のものに限定されず、第2の表示方
法としては、エレクトロマイグレーション等から決まる
電源線の許容電流量と計算上決まる流入する電流量との
差を表示して設計者に第6図と同様な方法で知らせる表
示態様をとることもできる。
さらには、第3の表示方法としては、チップ9の内部で
の電源電圧の降下を各部分領域1.1毎に示すものがあ
り、第7図に示すようにチップ9の概略形状に重ねて各
部分領域11の電圧降下を色調をもって示すこともでき
る。なお、各部分領域11での電圧降下は式(4)を計
算することにより容易に得られる。
なお、演算結果としては、ステップS9  SlOで求
められた電源線電位、電源電流の他に消費電力、発熱量
等も考えられる。
このようにして、この実施例では、チップ領域を仮想的
な格子により複数の部分領域に分割して、各々の部分領
域の電気的特性パラメータを求め、各部分領域における
電源線とグランド線との間を流れる電流−電圧特性及び
電源電位より回路方程式を立ててこれを解き、チップの
周辺4辺がら流れ込む電流を表示するようにしているた
め、チップの分割された水平方向、垂直方向いずれの位
置の電源線を太くしなければならないかを容易に識別す
ることができる。
また、以上述べてきた電源配線設計方法とチップ内の素
子の集合であるセルの概略配置を決定するフロアプラン
とを組み合わせることにより、局所的発熱を抑え、チッ
プ9内の発熱を均一化することが可能となる。
すなわち、電力消費の大きな回路方式、例えばECL等
においては、チップ9上の局所的な電力消費によって起
こる発熱を避ける必要がある。そのために、この発明に
よって得られたチップ9上の各部分領域の消費電力ない
しはこの消費電力より所定の計算式を用いて求められた
発熱量の分布をグラフィック表示部8で表示し、設計者
がその表示を見ながらセルの配置を変更してチップ9全
体の消費電力あるいは発熱量の分布を均一化することが
できる。
さらに、この電源配線設計方法とセルの概略配置を計算
機を用いて自動的に決定する自動フロアプラン演算装置
を組み合わせることにより、同様にチップ9内の発熱量
を均一化することが可能となる。
すなわち、消費電力を算出するステップによって求めた
消費電力あるいはこれを用いて求められた発熱量の分布
から局所的に発熱の集中した領域からセルを取り出して
比較的発熱の少ない領域のセルと交換またはセルを移動
するステップをその発熱量が所定の許容範囲になるまで
繰り返し、発熱の均一化を図ることができる。
第8図はこの発明の他の実施例のブロック図であり、初
期配線状態および前回の配線状態からの改善方策をあら
かじめチップ種類等毎に定められた生成ルール、制約ル
ールに従って生成する改善方策生成部24と、改善方策
および入力したレイアウトデータ、前回に生成した回路
モデルをもとに、新たに回路モデルを作成する試行回路
発生部22と、発生した回路モデルを格納する試行回路
モデル格納部23と、初期モデルや前回の回路モデルを
格納する回路モデル格納部24と、試行回路モデル格納
部23と回路モデル格納部24との間でデータの転送を
制御するための転送器25とを備えている。
また、与えられた回路を解析して電位、電流を求める解
析部26と、試行回路のデータを解析するか、保存しで
ある回路モデルのデータを解析するかを切り替えるスイ
ッチ27と、これに対応して解析結果を格納する場所を
切り替えるスイッチ28と、試行回路解析結果を格納す
る試行回路解析結果格納部29と、参照用回路及び元の
状態の回路の解析結果を格納するだめの回路解析結果格
納部210と、両格納部29,210の間でデータ転送
する転送器211と、改善方策を施した回路と以前の回
路との間で改善があったかどうかを評価する比較部21
2と、比較のための制約条件等のルールを格納する制約
ルール格納部213と、改善方策生成に必要な生成ルー
ルを格納する生成ルール格納部214をも備えている。
また、この装置全体の処理の順序、データの流れを制御
する制御部215と、得られた最小結果をレイアウトデ
ータの形式に変換する実レイアウトデータ生成部216
と、必要な情報を人力するレイアウトデータ人力格納部
17をも備えている。
次に、上記構成の第2実施例の半導体集積回路の電源配
線設計装置の動作について説明する。
第9図は第2実施例の半導体集積回路の電源配線設計装
置の動作を示すフローチャートであり、まずステップS
21てレイアウトデータ入力部217から電源配線設計
に必要な情報を入力し、以下に続く処理に適した構造に
してデータを格納しておく。
次に、ステップS22において初期配線を生成する。初
期配線の生成は、第8図の生成ルール格納部214に格
納されたチップ形状等により決められたルールに従って
配線を発生する。第10図はこのような初期配線の例で
あり、チップ9の周辺部に人出力バッド41が並べられ
、その上に太い電源線42、グランド線43が規則的に
走り、セルの電源端子上に細い電源線44、グランド線
45を規則的に置き、各セルに電源を供給するようにな
っている。そこで、生成ルール格納部214にはこのよ
うな初期配線の発生手順を格納しておき、対象とする母
体によって改善方策生成部1に初期配線生成を指示して
初期配線回路を発生させるのである。
この初期回路の生成結果は、試行回路発生部22におい
て取り扱いやすい回路解析用モデルに変換され、試行モ
デル格納部23に格納される。
この初期配線モデル生成手順の詳しい流れは第11図に
示しである。
まず、対象チップ9の領域に対して第3図に示すような
仮想的な縦横の格子10を設定して複数の部分領域11
に分割する(ステップ531)。
ここで、部分領域11への分割は、下地であるチップ母
体9のトランジスタを途中で切断せず、かつできる限り
論理ゲートを含むように設定することが望ましい。また
格子10により分割された部分領域11内に含まれる電
源線の電位が一様とみなせる程度の大きさの格子により
分割するのが望ましい。
次に、格子10.10で分割された各部分領域11内に
含まれている論理ゲート、トランジスタを抽出する(ス
テップ532)。ここで抽出された論理ゲート、トラン
ジスタは格子毎に分類しておく。このように、格子によ
り分割される各部分領域に含まれるトランジスタ等を抽
出する操作は、このようなゲートアレイレイアウトシス
テムがトランジスタ等を座標で分類された形でデータベ
ース内に保持しているために、比較的容品に実施できる
次にステップ533において、各部分領域11内の論理
ゲートの負荷を算出する。
この論理ゲートを流れる電流は、負荷の大きさに大きく
依存している。たたし、CMO3技術を利用した場合に
は、配線の浮遊容量、及び負荷の容量が重要である。こ
こで、抽出された負荷の大きさは電流計算のステップで
利用される。
次にステップS34において、平均スイッチング確率を
計算する。つまり、CMOSゲートアレイの場合、消費
電力はスイッチング確率に比例するため、所定の部分領
域に含まれる論理ゲートの平均的なスイッチング確率を
計算しておく必要がある。このスイッチング確率の計算
方法としては、最も直接的な方法として論理シュミレー
タを利用する方法がある。この方法では、所定のテスト
系列を論理シュミレータにかけ、すべてのゲートについ
てシュミレーションにおいて発生するイベントの数を記
録しておく。
このようにしてシュミレーションをある時間続けていく
ことにより、各ゲート毎の所定時間内に起こったイベン
トの数を知ることができる。そして、次には、着目した
格子に含まれるゲートすべてのイベント数の総和をとり
、評価した時間で割ることにより平均イベント数が分か
り、スイッチング確率が計算できる。
これとは別に、部分領域に含まれる論理ゲートに信号を
供給しているフリップフロップのクロック信号の周波数
等から論理ゲートの平均スイ・ノチング確率を計算する
こともできる。
次にステップS35において、次の電流計算のステップ
で利用するためにすべての部分領域についてゲートを整
理し、電流計算用のパラメータのテーブルを準備する。
そして、以上のステップ533〜S35は、すべての部
分領域11についての平均スイッチング確率の計算が完
了するまで繰り返し実行される(ステップ536)。
なお、ここまでのステップ532〜S36は電気的特性
抽出部3により実行される。
こうして、回路解析モデルへの変換が行われ、試行モデ
ル各の23に格納された後、第9図に示すフローチャー
トにおける次のステップS3で、初期配線によって与え
られる電気的な特性を解析が解析部26において実行さ
れる。
この解析部26における電気的特性の解析の詳しい手順
は第12図のフローチャートに示されている。
ステシブ8311〜5314では各部分領域11を流れ
る電源電流を計算し、各部分領域11−の電位、電源線
を流れる電流について回路方程式(節点方程式)を立て
て、解析処理する。ただし、ステップ5315で終了判
定を行ない、繰り返し解析するようになっているが、こ
れは各部分領域11を流れる電流の電流−電圧特性が必
ずしもよく分かっていない場合でも計算できるように繰
り返し収束計算を行なうためである。
まず、ステップ5311で各部分領域11に対して電力
消費を計算する。
この電力消費を計算するには、集積回路を構成するデバ
イス技術固有の性質を利用する必要がある。例えば、0
MO8技術においては、ゲートがスイッチすることによ
る電力消費は、次のように計算される。Ne1l H,
E、 Wests等の著書”Pr1nciples o
f’ CMOS VLSI Design:  A  
5ysteis Per−spective″AT&T
 Be1l Laboratories Inc、19
85によれば、電力消費P、は次の式で表わされる。
Pa −CL−VDD2・f p   −(1)ただし
、Ctは負荷容量であり、VDDは電源電圧、fPは入
力方形波の反復周波数である。そし。
で、これらのパラメータは第11図のステップ833な
いしステップS34で計算されているために容易に各ゲ
ートの消費電力が計算できる。そして部分領域11のす
べてのゲートについて消費電力を計算して総和をとるこ
とにより、部分領域内の消費電力及び電流を計算できる
ここで、VDDにどのような電位を仮定するかは、次の
ようにして行なうことができる。つまり、まず最初の繰
り返し計算においては、対象VLS Iの電源電圧を仮
定する。一般にチップに与えられる電源電圧とチップ内
の電圧降下により発生する内部での電源電位は近いので
、初期値としてチップに与えられる電源電位を利用する
のが計算効率及び収束性において有利である。
なお、次回からの計算においては、前回の計算から分か
った電源線の電圧降下を含めた電位を仮定する。
続くステップ5312では、回路方程式を作る。
つまり、第4図の等価回路に示したように各部分領域1
1の4辺を横切る電源線12の抵抗値を電源線幅及び抵
抗率等により算出して決定する。ここで、電源線12の
形式としては、チップ9上をグリッド状に覆う形式の場
合を考えたが、必ずしもこの形式に限られるわけではな
い。また見やくするためにグランド側の配線については
表示しなかったが、同様の回路構成となる。そして、各
電流源13の値は、前記ステップ5311により得られ
た電流値を用いる。
このステップ5312で作成された回路方程式は、第5
図に示すような第4図の回路各部に対して、第4図の部
分領域11の座標を(i、j)、ただし、1≦i≦N、
1≦j≦Mであり、N、  Mは縦横の格子分割とし、
■、を各部分領域11を流れる電流とし、さらに節点の
電位をv目とし、各部分領域11の4辺に対応する抵抗
のアドミッタンスをY +−+、+、+、+  ; V
 1.j−+、+、+  : Y I+1.1;Y+、
+。1.1.として節点方程式を立てると、次の(2)
式のようになる。
yv−r          ・・・ (2)ただし、
■は節点電位V1.のベクトルであり、Iは枝を流れる
電流II、のベクトルである。Yマトリクスは各抵抗の
アドミッタンスから構成されるアドミッタンス行列であ
る。
次のステップ8313では、回路方程式(2)を解くこ
とになるが、ここで(2)式の行列を整理すると、次の
式(3)のようになる。
ただし、■、は回路の周辺部の節点の電位に対応する電
位ベクトルであり、周辺部の抵抗がないとすれば電源電
位Eである。これに対応する電流ベクトル1.は周辺部
の節点からグランドに流入する電流であるが、この場合
には0である。v2は周辺部以外の節点の電位ベクトル
であり、抵抗による電圧降下のためにEより低い電位と
なる。
また、■2は各節点からグランドに流れるステップ53
11で仮定した電流の大きさであり、第4図では電流源
13として表現されているものである。
上記の(3)式をV2について解くと、次のようになる
V2 =Y2□−’I 2−Y22−’Y21Vl =
−(4)この(4)式によって電源の電位V1と各部分
領域11をグランドに向かって流れる電流I2を与える
と、各節点の電位V2を計算することができる。また周
辺部から電源線12を介して回路内に流入する電流I。
、O,1,I  (ただし、1≦i≦Nかつ、j−1ま
たはj−Mであるか、あるいは、1−1またはi−Nか
つ、1≦j≦Mである)は、次のように表現される。
10、 O,1,1″Yo、o、1.+  (Vo、o
 −Vl、+ )・・・(5) 次にステップ5314において、ステップ8313で計
算された電位V2を、各部分領域11゜を流れる電流を
計算するステップ3311.の仮定した節点電位に代入
する。
そして、ステップ5315において、繰り返しを停止ト
ーシてもよいかとうか判定し、前回に計算した電位■1
と今回の計算による電位V1との差の2乗和か所定誤差
以下になった場合に繰り返し計算を終了する。
次に第9図におけるステップS24の改善方策生成では
、第8図の解析部26で解析した結果の格納されている
回路解析結果格納部211から取り出せるデータと、制
約ルール格納部213に格納されている制約条件、生成
ルール格納部214に格納されている改善方策生成ルー
ル等を基にして、改善方策生成部21かどのような電源
配線の補強をすればよいかを決定する。
このステップS24で取り扱う問題を定式化すると、次
のような最適化問題となる。
第1の制約条件として金属配線のエレクトロマイグレー
ションに対する耐性を考慮してすべての電源・グランド
配線を流れる電流iは所定の電流密度1.−以下でなけ
ればならない。
つまり、1≦i≦M、1≦j≦Nなる(i、j)に対し
て、 i 1−+、1.1.1 <Wl−1,1,1,1* 
I mmx +i+、、−1,+、+ <w、、1.、
、、、 * I matとなる。ただし、W、−1,1
,1,1,W 1.1−1.1.1は電源線等の配線幅
である。
また第2の制約条件としては、電源・グランド配線の抵
抗により発生する電圧降下をあらかじめ規定された値以
下にする必要がある。
つまり、1≦i≦M、1≦j≦Nなる(i、j)に対し
て、 V−v、g≦ΔVmmx+ Ov+、  ≦ΔV m a X となる。ただし、■は電源電圧、ΔV III @ K
は許容される電位変化、V+、1は各格子に対応する節
点の電位である。
次に最適化の目的関数としては、−膜配線に利用できる
配線リソースを確保するためにすべての電源配線の幅の
総和と、ビア数を最小化することになる。つまり、 α*Σ*g十電源に関するとア数 を最小化することである。なおここで、αは2つの評価
関数の重さを調整するパラメータであり、Σw*gは電
源に使用されている総配線面積である。
このような最適化問題を解く手法は次のようなものであ
る。まず第10図に示したように、電源・グランド配線
のモデルはチップ9周辺の10セル41..41.・・
・上に太い配線42.43が周回し、そこからチップの
コア部の各セルに対して電源が供給できるように垂直に
配線44.45が設けられている。これが基本の電源配
線であるが、さらに第13図に示すように、チップ9内
の消費電力の位置的な分布により水平方向に電源補強線
46.47を張り、配線44.45との交点にビア48
,49を発生することにより電源配線を補強する。した
がって、最適化問題は最小の電源補強線およびビア数で
いかに制約条件を満足するかということになる。
そこでこの実施例では、制約条件に対する違反箇所を数
えてその数の多いところを補強できるように電源補強線
を引くことにする。この手順は第14図のフローチャー
トに従う。
まず、エレクトロマイグレーションを考慮した制約条件
1について、初期配線として与えられる電源配線につい
て違反箇所、つまり規定以上に電流の流れている箇所を
数える。この作業をすべての電源線について行い、各電
源線に対して違反数を記録したリストL CVVを作成
する。ここで、この違反数の多い電源線は電源幅に対し
て電流が多いことになる(ステップ541)。
続いて、これから張る電源補強線に対応して水平方向に
並ぶ仮想的に作った部分領域の列ごとに制約条件2、つ
まり電源電位の変動が規定委以上の部分の数を計算し、
すべての水平の部分領域に対してその数を記録したリス
トL CVHを作成する(ステップ542)。
続いて、補強すべき点を調べる。つまり、前記LC/V
l(が最大の線とり。vvが最大の線とを求めるのであ
る(ステップ843)。この実施例では、電源補強を水
平方向の配線で行なうので、水平方向に積算した値が重
要である。また電源補強用の水平方向の配線から垂直な
電源線へ電流を供給するためのビアはり。vvが最大の
点に供給する必要がある。
続いて、ステップ343で求めた点を目標に補強の方策
を作る(ステップ544)。そのためにまず、すでに敷
設されている電源補助線が活用できないかどうかを調べ
る。つまり、1aXL (vHに近い位置に電源補助線
があればそれを利用する。
これは配線リソースを有効活用するためである。
もし適当な近傍に電源補助線がないときには、新たに補
助線を発生することを方策とする。次に、aaxLcv
vの点をビア発生点とする方策を取る。
こうして、電源補強処理が終了すると、次には、第9図
のフローチャートにおけるステップS25の処理に移り
、第8図の試行回路発生部22を利用して試行回路モデ
ルを作成する。ただし、その前に作られていたモデルは
参照のために保存しておく必要があるので、必要な場合
には転送器25を使って回路モデル格納部24に待避し
ておく。
この試行回路モデル生成の手順は前記ステップS22の
手順と同じである。しかしなから、平均スイッチング確
率等は変化せず、補強配線を行なったことにより若干回
路構造が変化したわけであるから、一般には補強に対応
する抵抗および接続を追加すればよい。
第9図における次のステップS26では、修正した試行
回路モデルの電流、電圧の状態を解析するのであるが、
その手法は第9図のステップ523とほとんど同じであ
る。つまり、第8図のスイッチ27により試行回路モデ
ルを選択し、解析部26で解析を行なう。この結果は、
スイッチ28によって試行回路解析結果格納部29に格
納される。ただし、それ以前の結果も保存しておくため
に、必要な場合には転送器211−を使用して第8図の
回路解析結果格納部210に保存する。
続くステップS27では、改善方策によって生成された
回路の特性が改良されたかどうかを判断する。第8図の
試行回路解析結果格納部29に格納されている解析結果
と解析結果格納部210に格納されている解析結果とを
比較部212で比較する。
ここで、比較項目は前述の第1の制約条件、第2の制約
条件等であるが、比較項目に対する違反件数だけで比較
するのではなく、違反の度合いを大小として評価するこ
とによって、より計算効率を上げることができる場合が
多い。第8図の比較部212は、比較結果を制御部21
5に信号として送り、処理の流れ及びデータの流れを制
御することになる。
第9図の次のステップS28は、ステップS27の判断
で受は入れられる方策、つまり改善があったと判断され
なかった時にその試行配線結果をキャンセルする処理で
あり、この場合には、第9図の試行回路モデルを回路モ
デル格納部24に転送したり、試行回路解析結果を回路
解析結果格納部210に転送する処理を行なわないこと
になる。
次のステップS29では、ステップS24で生成した改
善方策に若干の修正を行なうことにより改善できる可能
性があるので、先の改善方策の小修正を行ない、再度改
善の可能性を試みる。
ここで行なう方策修正の方向は、原則的に改善方策を少
なくする方向であり、このように少なくする方向に動か
すことにより、最終的には元の状態まで戻ることになり
、必ずステップS27から抜けることができ、無限ルー
プとなる恐れはない。
次のステップ521θは、改善のあった試行配線結果を
改善された回路として受は入れるステップである。つま
り、第8図において試行回路モデルを回路モデル格納部
24に転送したり、試行回路解析結果を回路解析結果格
納部210に転送する処理を行なう。
続くステップ5211において、改良が十分に行われ、
制約条件が満足されたかどうかを調べる。
この処理は、第8図の比較部212によって行われる。
ここで制約条件は、第8図の制約ルール格納部213に
格納された制約ルールを使って行なつ0 こうして、制約条件を満たす改善された試行回路がμ出
たされれば、次のステップ5212で回路モデル格納部
24に格納された電源・グランド配線の抽象レベルのデ
ータを実際のパターン用のポリゴンデータに変換する。
このようにして、第2実施例の半導体集積回路の電源配
線設計装置では、試行回路発生部22により゛16導体
集積回路の電源・グランド配線の回路モデルを試行的に
生成し、この試行回路発生部22の生成した回路モデル
の各部分領域毎の電気的特性を、解析部26によって解
析する。そして、試行回路発生部22が前回生成した回
路モデルに対する解析部26による電気的特性の解析結
果と今回生成した回路モデルに対する解析部26による
電気的特性の解析結果とを比較部212によって比較評
価し、この比較評価結果に従って、改善方策生成部24
が回路モデルを所望の目的に向くように改善する方策情
報を生成して試行回路発生部22に与える。
こうして、この試行手順を何度か繰り返すことにより、
電源・グランド配線の最適化設計を行なうことができる
のである。
なお、上記の改善方策生成手法の別の実施例としては、
非線形の電流源を線形化したモデルの上で最適な電源補
強線挿入位置と電源ビア発生位置とを決定する方法があ
る。第15図はこのような改善方策生成問題を解くため
のモデルを示していて、第4図および第5図の解析用の
回路モデルに対して電源補強可能な位置に電源補強線の
候補1゜2、・・・l  Jl ・・・、nを暫定的に
引き、配線抵抗をモデルとして組込み、さらに基本的な
電源線】。
2、・・・、i、・・・1mの各節点と電源補強線の対
応する節点間にスイッチSW、lを入れて接続・開放が
概念上できるようにしている。
このモデルの上では、最適方策生成の問題、つまり電源
線の電流密度制約と電源電位変動の制約とを守りつつ、
配線リソース利用を最小化する問題は各スイッチsW+
lを動かして最小の補強線数でかつ最小のスイッチオン
、つまり最小の電源とア数で両制約条件を満足するスイ
ッチ接続の組み合わせを発見することである。
第16図および第17図のフローチャートに従ってこの
処理は実行され、挿入する電源補強線の位置決めと、そ
の電源補強線上で作るべきビアの位置決めとがなされる
。この両手順は共に評価関数の微係数を求めることがで
きないために、肝癌関数を直接計算して探索区間を順次
縮小する方法、例えば、Ca1ahanの ” Coo
+puter−Aided Networkl)csi
gn ”、 McGraw−旧1+、1972の第11
章に書かれている Pibonacei探索のような手
順によって行なうことができる。
1つの電源補強線の位置決定は、第16図に記述されて
いるような手順で行われる。ただし、ここで最良の電源
補強線位置が内部にあるものとして取り扱う。
第16図のフローチャートにおいて、ステップS51て
は電源補強線の挿入位置の両端、第13図では最下端の
水平電源補強線について各々スイッチを閉じたと仮定し
た時の改善を評価し、PL +P、lとして記憶する。
次のステップS52では、例えばFjbonacci探
索を利用するならば、その手法で決定される位置の近く
の電源補強線について、スイッチを閉じたと仮定したと
きの改善を評価し、p、、ltとして記憶する。
続くステップ353では、探索法で決まる別の内点の近
くの電源補強線について、すべてのスイッチを閉じたと
きの改善を評価し、PM2とする。
次に、最適値を探索する範囲を決定するステップS54
を行なう。ここではP L +  P R+  PMl
+PM2の位置が第18図のように並んだとすると、P
、の位置くP□の位置 <p、。の位置<p、の位置 である。また、第18図のようにPMl > P M2
であるとすれば、最小値の探索の場合には、次の探索区
間は(P Mlの位置、PRの位置)となり、PM2は
再利用できる。したがって、次のように位置情報および
値を更新する。
P L −P M I + P M + ’−P M2
 r P R”−P Rまた第19図に示すようにPM
I<PM2であれば、次のように位置情報および値を更
新する。
PL  ”Pc  ;  Pu+=PM2;  PR=
PM□このようにすることにより、順次探索区間を狭く
することができる。ここで、ステップS55て補強位置
を確定するのに十分に区間が狭まれば、処理のループを
終わりにし、補強位置を確定することができる。
続いて、第17図に示すフローチャートに従って、確定
した電源補強線に対してどのようにビアを作成して電源
を供給すればよいのかを決定する手順を示している。こ
の第17図で取り扱う問題は、第15図の等価回路にお
いて、第16図の処理手順により決定された電源補強位
置jに対してどのスイッチS W 、を、しかもなるべ
く少ない数のスイッチを閉じれば最適な電源補強ができ
るかを決定する問題である。もちろん、ここですべての
可能な位置にビアを開ければ最大の電流供給ができるこ
とになるのであるが、ビアを多数開けなければならない
ことは配線リソース上は不利になる。
この処理も、第16図の処理手順と同じく区間探索手法
を基礎としている。ただし、ここで最良のビア発生位置
か区間の両端ではない場合を想定して取扱いを説明する
と、まず電源補強線のビア発生位置の両端、つまり第1
5図で所定の水平電源補強線の左右端のスイッチを閉じ
たと仮定した時の改善を評価し、vL、Vllとして記
憶する(ステップ561)。
次に、例えばFibonacci探索を利用するならば
、その手法で決定される位置の近くのビアについてスイ
ッチを閉じたと仮定した時の改善を評価し、VMlとし
て記憶する(ステップ562)。
次のステップ363では、探索法で決まる別の内点の近
くのビアのスイッチを閉じたときの改善を評価し、VM
□とする。
ついで、次に最適値を探索する範囲を決定するステップ
S64に移る。ここでは、VL、V、。
Vl、V、、2の位置が次のように並んだとする。
vl、の位置<VM、の位置 <V、A2の位置<Vl、の位置 さらに、VM、>VM2であるとすると、最小値の探索
の場合には、次の探索区間は(VMIの位置。
VRの位置)となり、VM2は再利用できる。したかっ
て、次のように位置情報および値を更新する。
VL+v、、、VM、ThVM□;VR−VRまた、V
 Ml < V M2であるとすれば、次のように位置
情報および値を更新する。
VL −VL  : VMl−V M2 : VR’−
” M2このようにすることにより、順次探索区間を狭
くすることができる。ここで、ステップS65でビア位
置を確定するのに十分に区間が狭まれば、処理の内側の
ループを終了する。
次に、ステップS66で、確定したビア位置を登録して
以後の解析に組み込む。さらに、ビアを発生できる指定
の場合には、次のビアの発生位置探索の準備に移る。
次に、ステップS67て、特定の電源補強線でのビ“ア
生成の終了条件に達したかどうかを判断する。この終了
条件は場合によって異なり、指定回数行うことにより終
了したり、改善がある程度以F効果を出さなくなった時
点で終了するなどの方法がある。
こうして、第16図の手順と第17図の手順との組み合
わせにより電源補強すべき位置を見出たし、その電源補
強を行うことができるのである。
なおここで、補強を試行してスイッチを閉じたときの回
路の状態を解析するには、−船釣な直流回路の解析手法
を利用することができる。
[発明の効果] 以上のようにこの発明によれば、半導体基板上に設定し
た仮想的な格子により複数の部分領域に分割して、各部
分領域毎の電気的特性パラメータを求め、そこを流れる
電流、消費電力、発熱量等の回路特性をを求め、これを
各格子に沿った水平方向(横方向)、垂直方向(縦方向
)に一方の辺から他方の辺まで積算し、縦横各列で消費
する電力、発熱量、そこを流れる最大電流量等を求める
ので、半導体基板上のどの位置においてどれくらいの電
流が流れ、電力消費がなされ、あるいは発熱があるのか
を把握することができ、電源配線設計に役立てることか
できる。
また、計算結果を半導体基板上の位置との対応において
表示するようにしているために、設計者は表示を見なが
ら設計することができ、電源配線設計を容易なものとす
ることができる。
さらに、この発明によれば、電源φグランド配線の試行
回路モデルを自ら生成して、これに改善を加えなからな
最適な電源・グランド配線モデルを得ることができ、電
源・グランド配線の自動設計が容易に行なえる。
【図面の簡単な説明】
第1図はこの発明の第1実施例のブロック図、第2図は
上記の実施例の動作を示すフローチャート、第3図は上
記の実施例におけるチップの領域分割方法を示す説明図
、第4図は上記の実施例における電源配線設計対象とな
るチップの等価回路図、第5図は上記の実施例の1つの
部分領域の等価回路の拡大図、第6図はチップ上の各部
分領域の縦横各列に流れる電流を示すグラフ表示する表
示例を示す説明図、第7図はチップ状に被せる電位篩ド
の大小を色調表示した表示例を示す説明図、第8図はこ
の発明の第2実施例のブロック図、第9図は上記実施例
の動作を示すフローチャート、第10図は上記実施例で
用いるチップ上の電源・グランド線の配置パターンの一
例を示す説明図、第11図は上記実施例における仮想格
子を設定して各格子ごとの電気的特性を解析する処理を
示すフローチャート、第12図は上記実施例における仮
想格子毎の回路特性を求める処理を示すフローチャート
、第13図は上記実施例で電源補強を行なうチップの説
明図、第14図は上記実施例における回路モデルの改善
方策生成処理のフローチャート、第15図はこの発明の
第3実施例における改善方策手法の原理を説明するため
の等化回路図、第16図は上記実施例においてどの電源
線を補強するかを決定する処理のフローチャート、第1
7図は上記実施例においてどの位置にビアを開けるかを
決定する処理のフローチャート、第18図はどの電源線
について補強処理の行うかを決定する原理を説明する説
明図、第19図はどの位置にビアを開けるかを決定する
原理を説明する説明図である。 1・・・人力部     2・・・格子設定部3・・・
電気的特性抽出部 4・・回路方程式作成部 5・・・回路方程式解析部 6・・・出力部 7・・・電源配線設計部 8・・・グラフィック表示部 21・・・改善方策生成部 22・・・試行回路発生部 23・・試行回路モデル格納部 24・・・回路モデル格納部 25・・・転送器    26・・・解析部27・・・
スイッチ   28・・・スイッチ29・・・試行回路
解析結果格納部 10・・・回路解析結果格納部 11・・・転送器   21203.比較部13・・・
制約ルール格納部 14・・・生成ルール格納部 215・・・制御部

Claims (3)

    【特許請求の範囲】
  1. (1)半導体基板上に仮想的な格子を設定し、この格子
    により分割される前記半導体基板の各部分領域毎の電気
    的特性を抽出し、得られた電気的特性を基に前記各部分
    領域毎の回路特性を求め、この回路特性により求められ
    た演算結果を表示し、この表示された前記演算結果を基
    に電源配線を設計することを特徴とする半導体集積回路
    の電源配線設計方法。
  2. (2)半導体基板上に仮想的な格子を設定する格子設定
    手段と、 この格子設定手段により設定された仮想的な格子により
    分割される前記半導体基板上の各部分領域の電気的特性
    を抽出する電気的特性抽出手段と、この電気的特性抽出
    手段により抽出された前記各部分領域の回路特性を求め
    る回路特性演算手段この回路特性演算手段により求めら
    れた演算結果を表示する表示手段と、 この表示手段により表示された前記演算結果に応じて電
    源配線設計を行なう電源配線設計手段とを具備したこと
    を特徴とする半導体集積回路の電源配線設計装置。
  3. (3)半導体集積回路の電源・グランド配線の回路モデ
    ルを試行的に生成する試行回路発生手段と、 前記試行回路発生手段の生成した回路モデルの各部分領
    域毎の電気的特性を解析する解析手段と、前回生成した
    回路モデルに対する前記解析手段による電気的特性の解
    析結果と今回生成した回路モデルに対する前記解析手段
    による電気的特性の解析結果とを比較して評価する比較
    手段と、前記比較手段の比較評価結果に従って、回路モ
    デルを所望の目的に向くように改善する方策情報を生成
    して前記試行回路発生手段に与える改善方策生成手段と
    を備えて成る半導体集積回路の電源配線設計装置。
JP2183981A 1989-10-17 1990-07-13 半導体集積回路の電源配線設計方法及び電源配線設計装置 Expired - Lifetime JP2901087B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2183981A JP2901087B2 (ja) 1989-10-17 1990-07-13 半導体集積回路の電源配線設計方法及び電源配線設計装置
US07/599,030 US5404310A (en) 1989-10-17 1990-10-17 Method and apparatus for power-source wiring design of semiconductor integrated circuits

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP1-268193 1989-10-17
JP26819389 1989-10-17
JP2183981A JP2901087B2 (ja) 1989-10-17 1990-07-13 半導体集積回路の電源配線設計方法及び電源配線設計装置

Publications (2)

Publication Number Publication Date
JPH03204958A true JPH03204958A (ja) 1991-09-06
JP2901087B2 JP2901087B2 (ja) 1999-06-02

Family

ID=26502207

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2183981A Expired - Lifetime JP2901087B2 (ja) 1989-10-17 1990-07-13 半導体集積回路の電源配線設計方法及び電源配線設計装置

Country Status (2)

Country Link
US (1) US5404310A (ja)
JP (1) JP2901087B2 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6862716B2 (en) 2002-08-05 2005-03-01 Nec Electronics Corporation Method for designing interconnects in an LSI
JP2009289062A (ja) * 2008-05-29 2009-12-10 Fujitsu Ltd 電源網解析装置、電源網解析方法及び電源網解析プログラム
US8375346B2 (en) 2010-03-26 2013-02-12 Renesas Electronics Corporation Method and apparatus for laying out power wiring of semiconductor
JP2013239069A (ja) * 2012-05-16 2013-11-28 Denso Corp 半導体集積回路の設計方法および設計装置
JP2016184261A (ja) * 2015-03-26 2016-10-20 株式会社メガチップス 半導体集積回路の設計支援装置及び設計支援方法

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5345394A (en) 1992-02-10 1994-09-06 S-Mos Systems, Inc. Method for generating power slits
JP2776120B2 (ja) * 1992-03-10 1998-07-16 日本電気株式会社 集積回路の電源配線布設方法
US5581475A (en) * 1993-08-13 1996-12-03 Harris Corporation Method for interactively tailoring topography of integrated circuit layout in accordance with electromigration model-based minimum width metal and contact/via rules
US5625567A (en) * 1993-11-12 1997-04-29 Viewlogic Systems, Inc. Electronic circuit design system and method with programmable addition and manipulation of logic elements surrounding terminals
US5761076A (en) * 1994-04-19 1998-06-02 Hitachi, Ltd. Method for evaluating a driving characteristic of a device for a wiring, based upon lower order coefficients of series expansion form of complex admittance of the wiring
JP3215770B2 (ja) * 1994-08-09 2001-10-09 三菱電機株式会社 半導体集積回路の発熱量見積もり方法
US5598348A (en) * 1994-09-22 1997-01-28 Sun Microsystems, Inc. Method and apparatus for analyzing the power network of a VLSI circuit
JP3264806B2 (ja) * 1994-11-15 2002-03-11 富士通株式会社 回路シミュレーションモデル抽出方法及び装置
US7068270B1 (en) * 1994-12-02 2006-06-27 Texas Instruments Incorporated Design of integrated circuit package using parametric solids modeller
US5737580A (en) * 1995-04-28 1998-04-07 International Business Machines Corporation Wiring design tool improvement for avoiding electromigration by determining optimal wire widths
US6035407A (en) * 1995-08-14 2000-03-07 Compaq Computer Corporation Accomodating components
US5835378A (en) * 1995-11-20 1998-11-10 Lsi Logic Corporation Computer implemented method for leveling interconnect wiring density in a cell placement for an integrated circuit chip
JP3597280B2 (ja) * 1995-11-28 2004-12-02 株式会社リコー 統合シミュレーション装置及び回路シミュレーション用パラメータ抽出方法
JP3671504B2 (ja) * 1996-03-05 2005-07-13 ヤマハ株式会社 半導体集積回路のレイアウト設計方法
JPH09293765A (ja) * 1996-04-26 1997-11-11 Nec Corp 半導体集積回路のエレクトロマイグレーション信頼性検証方法及びその装置
US5808900A (en) * 1996-04-30 1998-09-15 Lsi Logic Corporation Memory having direct strap connection to power supply
JPH10284690A (ja) * 1997-04-07 1998-10-23 Toshiba Corp 半導体集積回路装置及びその電源配線方法
US6075934A (en) * 1997-05-01 2000-06-13 Motorola, Inc. Method for optimizing contact pin placement in an integrated circuit
US6336207B2 (en) * 1997-05-27 2002-01-01 Matsushita Electric Industrial Co., Ltd. Method and apparatus for designing LSI layout, cell library for designing LSI layout and semiconductor integrated circuit
US6090151A (en) 1997-07-01 2000-07-18 Motorola, Inc. Electronic device parameter estimator and method therefor
US5933358A (en) * 1997-09-30 1999-08-03 Synopsys, Inc. Method and system of performing voltage drop analysis for power supply networks of VLSI circuits
US6038383A (en) * 1997-10-13 2000-03-14 Texas Instruments Incorporated Method and apparatus for determining signal line interconnect widths to ensure electromigration reliability
US6308307B1 (en) * 1998-01-29 2001-10-23 Texas Instruments Incorporated Method for power routing and distribution in an integrated circuit with multiple interconnect layers
US6202196B1 (en) * 1998-02-03 2001-03-13 Lsi Logic Corporation Method for optimizing routing mesh segment width
US6397170B1 (en) 1998-08-18 2002-05-28 International Business Machines Corporation Simulation based power optimization
JP2000099561A (ja) * 1998-09-25 2000-04-07 Fujitsu Ltd 半導体装置の電源電圧変動解析装置
JP4427115B2 (ja) 1998-12-04 2010-03-03 株式会社東芝 半導体装置のノイズ解析装置
US7016794B2 (en) * 1999-03-16 2006-03-21 Lsi Logic Corporation Floor plan development electromigration and voltage drop analysis tool
US6675139B1 (en) 1999-03-16 2004-01-06 Lsi Logic Corporation Floor plan-based power bus analysis and design tool for integrated circuits
US6577992B1 (en) 1999-05-07 2003-06-10 Nassda Corporation Transistor level circuit simulator using hierarchical data
US6574780B2 (en) 1999-10-29 2003-06-03 International Business Machines Corporation Method and system for electronically modeling and estimating characteristics of a multi-layer integrated circuit chip carrier
US6542834B1 (en) * 1999-11-24 2003-04-01 Lsi Logic Corporation Capacitance estimation
US6868374B1 (en) 2000-10-03 2005-03-15 International Business Machines Corporation Method of power distribution analysis for I/O circuits in ASIC designs
US6586828B2 (en) 2001-10-17 2003-07-01 International Business Machines Corporation Integrated circuit bus grid having wires with pre-selected variable widths
US6583045B1 (en) * 2001-11-16 2003-06-24 Taiwan Semiconductor Manufacturing Company Chip design with power rails under transistors
US20030212538A1 (en) * 2002-05-13 2003-11-13 Shen Lin Method for full-chip vectorless dynamic IR and timing impact analysis in IC designs
US7818157B2 (en) * 2002-06-19 2010-10-19 LS1 Corporation Instantaneous voltage drop sensitivity analysis tool (IVDSAT)
US6857113B2 (en) * 2002-09-11 2005-02-15 Agilent Technologies, Inc. Process and system for identifying wires at risk of electromigration
JP2004139181A (ja) * 2002-10-15 2004-05-13 Renesas Technology Corp レイアウト装置及びプログラム
US7146596B2 (en) * 2003-08-29 2006-12-05 International Business Machines Corporation Integrated circuit chip having a ringed wiring layer interposed between a contact layer and a wiring grid
JP4287294B2 (ja) * 2004-01-21 2009-07-01 株式会社東芝 自動設計方法、自動設計装置、及び半導体集積回路
US7334199B1 (en) 2004-03-04 2008-02-19 National Semiconductor Corporation System and method for breaking a feedback loop using a voltage controlled voltage source terminated subnetwork model
US7171639B2 (en) * 2004-03-18 2007-01-30 Intel Corporation Comparison of circuit layout designs
US7315992B2 (en) * 2004-07-29 2008-01-01 Texas Instruments Incorporated Electro-migration (EM) and voltage (IR) drop analysis of integrated circuit (IC) designs
US7191425B1 (en) * 2004-11-18 2007-03-13 Sun Microsystems, Inc. Method and apparatus for inserting extra tracks during library architecture migration
KR100593803B1 (ko) * 2004-12-06 2006-06-28 주식회사 엔타시스 반도체 집적회로의 블록배치 및 전력배선 설계방법
JP2007041867A (ja) * 2005-08-03 2007-02-15 Elpida Memory Inc インダクタンス解析システムと方法並びにプログラム
US20070033562A1 (en) * 2005-08-05 2007-02-08 International Business Machines Corporation Integrated circuit power distribution layout with sliding grids
JP4983068B2 (ja) * 2006-03-30 2012-07-25 富士通株式会社 半導体装置の設計支援装置、半導体装置の設計支援方法及び半導体装置の設計支援プログラム
US20080115092A1 (en) * 2006-11-13 2008-05-15 Nair Pratheep A Addressing power supply voltage drops within an integrated circuit using on-cell capacitors
US9311440B2 (en) * 2012-05-10 2016-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of electromigration avoidance for automatic place-and-route
US8914764B2 (en) 2012-06-18 2014-12-16 International Business Machines Corporation Adaptive workload based optimizations coupled with a heterogeneous current-aware baseline design to mitigate current delivery limitations in integrated circuits
US8826203B2 (en) * 2012-06-18 2014-09-02 International Business Machines Corporation Automating current-aware integrated circuit and package design and optimization
US8863068B2 (en) 2012-06-18 2014-10-14 International Business Machines Corporation Current-aware floorplanning to overcome current delivery limitations in integrated circuits
US8826216B2 (en) 2012-06-18 2014-09-02 International Business Machines Corporation Token-based current control to mitigate current delivery limitations in integrated circuits
CN107491598B (zh) * 2017-07-28 2020-02-11 清华大学 大规模微流控生物芯片快速布线方法及设备
CN112784384A (zh) * 2019-10-23 2021-05-11 长鑫存储技术(上海)有限公司 时序分析方法及装置、电子设备和计算机可读存储介质
CN116522855B (zh) * 2023-07-05 2023-09-05 北京智芯仿真科技有限公司 一种优化集成电路多电源多负载的供电方法及系统

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5880853A (ja) * 1981-11-02 1983-05-16 インタ−ナシヨナル ビジネス マシ−ンズ コ−ポレ−シヨン 素子構成の最適化システム
JPS6143445A (ja) * 1984-08-08 1986-03-03 Hitachi Ltd 半導体装置
JPS62120043A (ja) * 1985-11-20 1987-06-01 Fujitsu Ltd 自動配線方式

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3683417A (en) * 1970-01-23 1972-08-08 Bell Telephone Labor Inc Apparatus and machine-implemented process for determining the terminal characteristics of a bipolar transistor
UST940015I4 (en) * 1974-10-03 1975-11-04 Transmission line analysis and design process
US4698760A (en) * 1985-06-06 1987-10-06 International Business Machines Method of optimizing signal timing delays and power consumption in LSI circuits
US4827428A (en) * 1985-11-15 1989-05-02 American Telephone And Telegraph Company, At&T Bell Laboratories Transistor sizing system for integrated circuits
US4802099A (en) * 1986-01-03 1989-01-31 International Business Machines Corporation Physical parameter balancing of circuit islands in integrated circuit wafers
US4815003A (en) * 1987-06-19 1989-03-21 General Electric Company Structured design method for high density standard cell and macrocell layout of VLSI chips
JPS6461929A (en) * 1987-09-02 1989-03-08 Hitachi Ltd Power wiring for semiconductor gate array integrated circuit

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5880853A (ja) * 1981-11-02 1983-05-16 インタ−ナシヨナル ビジネス マシ−ンズ コ−ポレ−シヨン 素子構成の最適化システム
JPS6143445A (ja) * 1984-08-08 1986-03-03 Hitachi Ltd 半導体装置
JPS62120043A (ja) * 1985-11-20 1987-06-01 Fujitsu Ltd 自動配線方式

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6862716B2 (en) 2002-08-05 2005-03-01 Nec Electronics Corporation Method for designing interconnects in an LSI
JP2009289062A (ja) * 2008-05-29 2009-12-10 Fujitsu Ltd 電源網解析装置、電源網解析方法及び電源網解析プログラム
US8375346B2 (en) 2010-03-26 2013-02-12 Renesas Electronics Corporation Method and apparatus for laying out power wiring of semiconductor
JP2013239069A (ja) * 2012-05-16 2013-11-28 Denso Corp 半導体集積回路の設計方法および設計装置
JP2016184261A (ja) * 2015-03-26 2016-10-20 株式会社メガチップス 半導体集積回路の設計支援装置及び設計支援方法

Also Published As

Publication number Publication date
JP2901087B2 (ja) 1999-06-02
US5404310A (en) 1995-04-04

Similar Documents

Publication Publication Date Title
JPH03204958A (ja) 半導体集積回路の電源配線設計方法及び電源配線設計装置
US6272668B1 (en) Method for cell swapping to improve pre-layout to post-layout timing
Sun et al. Efficient and effective placement for very large circuits
US6578183B2 (en) Method for generating a partitioned IC layout
US5629860A (en) Method for determining timing delays associated with placement and routing of an integrated circuit
US5311443A (en) Rule based floorplanner
US7698677B2 (en) On-chip decoupling capacitance and power/ground network wire co-optimization to reduce dynamic noise
US20070094630A1 (en) Power grid design in an integrated circuit
CN107918694A (zh) 用于减少集成电路上的延迟的方法
US6810506B1 (en) Methodology for stitching reduced-order models of interconnects together
US6871336B1 (en) Incremental placement of design objects in integrated circuit design
US7818157B2 (en) Instantaneous voltage drop sensitivity analysis tool (IVDSAT)
WO1998012649A1 (en) Circuit layout technique with template-driven placement using fuzzy logic
US7315992B2 (en) Electro-migration (EM) and voltage (IR) drop analysis of integrated circuit (IC) designs
CN112861466B (zh) 一种布线轨道分配方法、电子设备及计算机可读存储介质
US7277804B2 (en) Method and system for performing effective resistance calculation for a network of resistors
Kamineni et al. AuxcellGen: A framework for autonomous generation of analog and memory unit cells
US7110929B1 (en) System and method of providing additional circuit analysis using simulation templates
US6931610B1 (en) Method for rapid estimation of wire delays and capacitances based on placement of cells
US20220405458A1 (en) Machine-learning-based power/ground (p/g) via removal
Swartz Jr Automatic layout of analog and digital mixed macro/standard cell integrated circuits
Ortmann et al. A comparison of multi-criteria evaluation methods for RSFQ circuit optimization
US11042682B2 (en) Analog design tool having a cell set, and related methods
CN114186528B (zh) 一种大规模阵列电路的IRDrop模拟方法
JP3422645B2 (ja) 回路素子配置装置

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080319

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090319

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100319

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100319

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110319

Year of fee payment: 12

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110319

Year of fee payment: 12