JP7442616B2 - 3d半導体メモリ構造体を形成する方法、構造体 - Google Patents

3d半導体メモリ構造体を形成する方法、構造体 Download PDF

Info

Publication number
JP7442616B2
JP7442616B2 JP2022501110A JP2022501110A JP7442616B2 JP 7442616 B2 JP7442616 B2 JP 7442616B2 JP 2022501110 A JP2022501110 A JP 2022501110A JP 2022501110 A JP2022501110 A JP 2022501110A JP 7442616 B2 JP7442616 B2 JP 7442616B2
Authority
JP
Japan
Prior art keywords
memory
chip
hbm
hardware accelerator
coupled
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2022501110A
Other languages
English (en)
Other versions
JP2022540468A (ja
Inventor
ファルーク、ムクタ
クマール、アーヴィンド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2022540468A publication Critical patent/JP2022540468A/ja
Application granted granted Critical
Publication of JP7442616B2 publication Critical patent/JP7442616B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/02Disposition of storage elements, e.g. in the form of a matrix array
    • G11C5/025Geometric lay-out considerations of storage- and peripheral-blocks in a semiconductor storage device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • H10B63/84Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays arranged in a direction perpendicular to the substrate, e.g. 3D cell arrays
    • H10B63/845Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays arranged in a direction perpendicular to the substrate, e.g. 3D cell arrays the switching components being connected to a common vertical conductor
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/06Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons
    • G06N3/063Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons using electronic means
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/54Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using elements simulating biological cells, e.g. neuron
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02377Fan-in arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13075Plural core members
    • H01L2224/1308Plural core members being stacked
    • H01L2224/13082Two-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/16146Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bump connector connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/171Disposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/1751Function
    • H01L2224/17515Bump connectors having different functions
    • H01L2224/17517Bump connectors having different functions including bump connectors providing primarily mechanical support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • H01L2224/92122Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92125Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06568Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices decreasing in size, e.g. pyramidical stack
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1517Multilayer substrate
    • H01L2924/15192Resurf arrangement of the internal vias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Dram (AREA)

Description

本発明は、一般に、機械学習に関し、より詳細には、人工知能のための異種集積構造体(heterogeneous integration structure)に関する。
人工知能ワークロードの普及の高まりにより、メモリへの高帯域幅相互接続性を有する専用のアクセラレータ(accelerator)の必要性が強まっている。これらのアクセラレータは改善し続けているが、外部帯域幅が計算エンジンにデータを十分に速く供給できなければ、全体的なシステム性能は悪化することになる。
したがって、アクセラレータとメモリ・チップとの間には、高帯域幅通信が必要とされる。チップをSiインタポーザにはんだ相互接続によって接合する、いわゆる2.5D集積(2.5D integration)を使用する従来の集積方法は、スケール変更することが困難であり、費用がかかる。Siインタポーザ解決策のスケーラビリティは、各チップのピンの数と、Siインタポーザに配置できるチップの数の両方によって限定される。追加として、高帯域幅メモリ(HBM)が、大容量メモリを可能にするために利用できる場合、HBM PHYインタフェースが、アクセラレータ・チップのすぐ近くに配置されなければならない。これらの相反する、しかし同様に重要な要件は、空間構成の課題と、克服されなければならない集積の課題の両方をもたらす。したがって、メモリとアクセラレータとの間の高帯域幅通信において、これらの課題を克服する解決策が求められている。
本発明の一態様によれば、3次元(3D)半導体メモリ構造体が提供される。3D半導体メモリ構造体は、メモリおよびシリコン貫通ビア(TSV:Through-Silicon Via)を含むチップを含む。3D半導体メモリ構造体は、上記チップ上に配列され、上記チップに対面して(face-to-face)結合されたハードウェア・アクセラレータをさらに含む。3D半導体メモリ構造体は、3D半導体メモリ構造体およびハードウェア・アクセラレータの下に配列され、TSVと、メモリ・チップおよびハードウェア・アクセラレータの外部入出力部に取り付けられた基板をさらに含む。
本発明の別の態様によれば、3次元(3D)半導体メモリ構造体を形成するための方法が提供される。この方法は、メモリおよびシリコン貫通ビア(TSV)を含むチップを受け取るステップを含む。この方法は、ハードウェア・アクセラレータを、上記チップに対面して結合させるように上記チップ上に配列するステップをさらに含む。この方法は、基板を、3D半導体メモリ構造体およびハードウェア・アクセラレータの下に配列し、TSVと、メモリ・チップおよびハードウェア・アクセラレータの外部入力部および出力部とに取り付けるステップをさらに含む。
本発明のさらなる別の態様によれば、3次元(3D)半導体メモリ構造体が提供される。3D半導体メモリ構造体は、メモリおよびシリコン貫通ビア(TSV)を含むメモリ・チップを含む。3D半導体メモリ構造体は、チップ上に配列され、チップに結合されたハードウェア・アクセラレータをさらに含む。3D半導体メモリ構造体は、メモリ・チップとハードウェア・アクセラレータとの間に配列され、ハードウェア・アクセラレータに対面して結合されたアナログ・チップをさらに含む。3D半導体メモリ構造体は、追加として、3D半導体メモリ構造体、ハードウェア・アクセラレータ、およびアナログ・チップの下に配列され、TSVと、メモリ・チップおよびハードウェア・アクセラレータの外部入力部および出力部とに取り付けられた基板を含む。
本発明のさらなる別の態様によれば、3次元(3D)半導体メモリ構造体を形成するための方法が提供される。この方法は、メモリおよびシリコン貫通ビア(TSV)を含むメモリ・チップを受け取るステップを含む。この方法は、ハードウェア・アクセラレータを、メモリ・チップに結合させるようにメモリ・チップ上に配列するステップをさらに含む。この方法は、アナログ・チップを、ハードウェア・アクセラレータに対面して結合させるようにウェハとハードウェア・アクセラレータとの間に配列するステップをさらに含む。この方法は、基板を、3D半導体メモリ構造体、ハードウェア・アクセラレータ、およびアナログ・チップの下に配列し、TSVと、メモリ・チップおよびハードウェア・アクセラレータの外部入力部および出力部とに取り付けるステップをさらに含む。
これらのおよび他の特徴および利点は、添付の図面に関連して読まれるべきその例示的な実施形態の以下の詳細な説明から明らかになるであろう。
以下の説明は、以下の図を参照して好ましい実施形態の詳細を提供する。
本発明の一実施形態による、人工知能計算(artificial intelligence computing)のための例示的な異種集積構造体を示す線図である。 本発明の一実施形態による、図1の異種集積構造体を形成する例示的な方法を記載する流れ図である。 本発明の一実施形態による、高帯域メモリ(HBM)を使用する人工知能計算のための例示的な異種集積構造体を示す線図である。 本発明の一実施形態による、図3の異種集積構造体を形成する例示的な方法を示す流れ図である。 本発明の一実施形態による、アナログ・ダイがメイン・アクセラレータ・ダイに接合された例示的な異種集積構造体を示す線図である。 本発明の一実施形態による、アナログ・ダイがメイン・アクセラレータ・ダイに接合された別の例示的な異種集積構造体600を示す線図である。 本発明の一実施形態による、図5および図6の異種集積構造体を形成する例示的な方法を示す流れ図である。 本発明の一実施形態による、図5および図6の異種集積構造体を形成する例示的な方法を示す流れ図である。
本発明は、人工知能のための異種集積構造体に関する。
一実施形態では、本発明は、3次元(3D)構成の課題を克服しながらその利点を活用することができる新しい構造体を提供する。
一実施形態では、本発明は、メモリの上に積み重ねられたアクセラレータを提供する。メモリの上にアクセラレータを配置することにより、アクセラレータの効率的な冷却が可能になる。
一実施形態では、信号および電力の送出は、MemPowerチップ(例えば、例えば電力TSVおよび非電力TSV(power and non-power TSVs)を含むTSVのための拡大フレームに結合されたメモリ)のシリコン貫通ビア(TSV)により(例えば、全体にわたってまたは周囲で)達成され、したがって、計算コア(例えば、アクセラレータの)が存在する上述の区域に影響を与えない。結果として得られた構造体の上にアクセラレータを配列することによって、アクセラレータを直接冷却する機会が与えられ、高度な熱技術とそれに関連するコストおよび欠陥とを避けることができる。
一実施形態では、非常に高い帯域を、より短い垂直の接続によって、インタポーザ構成(例えば、比較的長い距離にわたるアクセラレータとメモリとの間の横方向接続による構成)に対してより低い電力で、計算コアとメモリとの間で達成することができる。
一実施形態では、潜在的な熱の問題は、より高い電力の計算チップ(例えば、アクセラレータ・チップ)をヒートシンク(図示せず)に近接して上に配置することによって、避けられ、またはそうでない場合は緩和され、あるいはその両方である。
一実施形態では、本発明は、計算に必要な技術と、メモリおよび入力/出力(I/O)に必要な技術とを切り離す。
一実施形態では、補助メモリを追加して、MemPowerチップのメモリ部分に高帯域幅接続を行うことができる。
図1は、本発明の一実施形態による、人工知能計算のための例示的な異種集積構造体100を示す線図である。
構造体100は、アクセラレータ・チップ110(以下、「アクセラレータ」)と、MemPowerチップ120とを含む。構造体100において、アクセラレータ110を効率的に冷却し、アクセラレータ110を冷却するための高度な冷却技術の必要性を避けるために、アクセラレータ110は上部に配列される。MemPowerチップ120は、メモリ・チップ121として実装されたメモリ部分を含み、電力シリコン貫通ビア123(TSV)のための拡大フレーム122をさらに含む。アクセラレータ110は、MemPowerチップ120に対面して配列され、それに接続される。
MemPowerチップ120は、メモリBEOL中間層誘電体(ILD)124、メモリ太線BEOL配線(memory thick wire BEOL wiring)125、およびメモリ細線BEOL配線126をさらに含む。
一実施形態では、MemPowerチップ120は薄くされ(例えば、公称で50~100μm)、TSV123はキャップされ、はんだバンプ130が、パッド131を介して(裏側で)TSV123に、ならびに均一性/共平面性のためのパッケージング基板150上のダミーパッド151に取り付けられる。
TSV123は、パッケージング基板150上のラミネートからメモリ・チップ121に、およびBEOL再分配層(RDL)127を介してアクセラレータ110に電力を運ぶ。
TSV123は、MemPowerチップ120の最後から2番目の太さのBEOLレベルまで形成することができる。そのような構成は、既存のメモリ設計への妨害が最も少ない。その結果、変更は、MemPowerチップ120の上部の太線BEOLレベル(1つまたは2つの上部レベル)のみに限定することができる。太線は、MemPowerチップ120の上部の1つまたは2つのレベルで再分配されて、アクセラレータ110の周囲の場所(または必要に依って他の場所)に電力を運ぶ。
MemPowerチップ120は、微細相互接続(fine interconnection)140、例えば、Cu対Cuボンド[例えば、限定はされないが、5μmパッド/10μmピッチ]を使用して、アクセラレータ110に接合される。そのような構成により、アクセラレータ110によるメモリ・チップ121への迅速なアクセスが可能になる。その上、そのような構成により、最も必要とされる場所で、非常に高い帯域が可能になる。
図2は、本発明の一実施形態による、図1の異種集積構造体100を形成する例示的な方法200を記載する流れ図である。
ブロック205において、各々がTSV123のための拡大フレーム122と一緒に形成されたメモリ・チップ121を含む1つまたは複数のMemPowerチップ120を有する処理済みMemPowerウェハから開始するか、またはそうでない場合は準備するか、あるいはその両方である。例示および明確さのために、MemPowerウェハは、以下、単一のMemPowerチップ120を有するとして説明される。メモリ・チップ121のメモリ設計、すなわち、メモリ部分は、最後から2番目のBEOL太線レベルまで全面的に使用することができる。レチクル・サイズを、メモリ・チップ121の周囲のまわりにTSV列を収容するために少しだけ大きくしてもよい。MemPowerチップ120は、アンダー・バンプ・メタライゼーション(UBM)および最終相互接続(例えば、銅(Cu)パッド)までならびにそれらを含めて構築することができる。
一実施形態では、MemPowerウェハを構築する1つの方法は、メモリ・ウェハを使用し、TSVを周囲領域に追加することである。これらのTSVは、構築プロセスのどの時点でも、例えば、フロント・エンド・オブ・ライン(front-end of line)、ミドル・オブ・ライン、またはバック・エンド・オブ・ラインなどで追加することができる。TSVは、バック・エンド・オブ・ラインの配線を使用してメモリに接続され得る。
一実施形態では、ブロック205は、ブロック205Aからブロック205Dのうちの1つまたは複数を含むことができる。
ブロック205Aにおいて、MemPowerチップ120を有するMemPowerウェハがハンドラで所定の位置に保持されている間にMemPowerウェハ(50~100μmの公称最終ウェハ厚さ)を薄くし(例えば、エッチングまたは他の材料除去技術(例えば、研磨、平坦化、化学機械研磨/平坦化(CMP)など)を使用して)、TSV123にキャップをかぶせる(例えば、堆積技術を使用して)。この時点(ブロック205A)では、はんだバンプは取り付けられない。
ブロック205Bにおいて、TSVに、ならびにパッケージング基板に配置されたダミーパッド(均一性/共平面性のための)に、はんだバンプ130を取り付ける。
ブロック205Cにおいて、微細相互接続を使用して、アクセラレータをMemPowerチップ120に対面させて(F2F)接合する。
ブロック205Dにおいて、アクセラレータ110と、MemPowerチップ120のメモリ部分121との間のカバレッジを確実にするためにアンダーフィルを適用する(または事前に適用されたアンダーフィルを使用する)。
これが再構成されたウェハである場合、ダイス後の操作により、ほぼ完全な厚さ(約785μm)のダブル・チップ・スタックの選択および配置が可能になる。
ブロック210において、ウェハレベルまたはダイレベルのいずれかで、二層チップ・スタックをダイスし、パッケージング基板150のラミネートに接合する。
一実施形態では、ブロック210は、ブロック210Aを含むことができる。
ブロック210Aにおいて、接合を可能にするために、(i)はんだ付きCuピラー(Cu pillar with solder)を使用する、または(ii)はんだを用いたアンダー・バンプ・メタラジ(Under Bump Metallurgy)を構築する、または(iii)事前にはんだ付けされた接合パッドをもつラミネートを使用する。これらの3つの方法(i、ii、およびiii)のいずれも、最終結果を達成するために、単独で、または他の方法のうちの1つまたは複数と組み合わせて使用することができる。Cuピラー法は、スタンドオフという追加の利点を与える(すなわち、2つのチップ・スタックとパッケージング基板との間の歪みを低減し、それゆえに、チップ-パッケージ相互作用(CPI)応力を低減する(一般に、12~15ppm/Cの熱膨張係数(CTE)の不整合))。
図3は、本発明の一実施形態による、高帯域幅メモリ(HBM)を使用する人工知能計算のための例示的な異種集積構造体300を示す線図である。
構造体300は、図1の構造体100に類似しているが、補助メモリ(高帯域幅メモリ(HBM))310と、MemPowerチップ120上のPHY320およびメモリ・コントローラ330とをさらに含む。HBM310を、メモリ容量を増加させるためにラミネートに接合することができる。
補助HBM310のためのPHY320およびメモリ・コントローラ330を、MemPowerチップ120に配置することができ、それにより、MemPowerチップ120のメモリ・チップ121は、補助HBM310を用いてデータを効率的にロード/格納することができる。一実施形態では、メモリ・コントローラ330を使用して、メモリ・チップ121および補助HBM310のメモリ動作を制御することができる。PHY320は、通信チャンネル、電力およびデータ管理機能、テスト機能などを含むことができる。
HBM310は、PHY320の狭ピッチ要件(55μmピッチ)をサポートする薄膜ラミネートまたは他の高密度パッケージング基板を使用して収容することができる。
HBM310は、マイクロバンプ370を使用してパッケージング基板510に取り付けられる。
図4は、本発明の一実施形態による、図3の異種集積構造体300を形成する例示的な方法400を示す流れ図である。
ブロック405において、各々がメモリ・チップ121とTSV123のための拡大フレーム122とを含む1つまたは複数のMemPowerチップ120を有する処理済みMemPowerウェハから開始するか、またはそうでない場合は準備するか、あるいはその両方である。例示および明確さのために、MemPowerウェハは、以下、単一のMemPowerチップ120を有するとして説明される。メモリ・チップ121のメモリ設計、すなわち、メモリ部分は、最後から2番目のBEOL太線レベルまで全面的に使用することができる。レチクル・サイズを、メモリ・チップ121の周囲のまわりにTSV列を収容するために少しだけ大きくしてもよい。MemPowerチップ120は、アンダー・バンプ・メタライゼーション(UBM)および最終相互接続(例えば、銅(Cu)パッド)までならびにそれらを含めて構築することができる。
一実施形態では、ブロック405は、ブロック405Aからブロック405Dのうちの1つまたは複数を含むことができる。
ブロック405Aにおいて、MemPowerチップ120を有するMemPowerウェハがハンドラで所定の位置に保持されている間にMemPowerウェハ120(50~100μmの公称最終ウェハ厚さ)を薄くし(例えば、エッチングまたは他の材料除去技術(例えば、研磨、平坦化、化学機械研磨/平坦化(CMP)など)を使用して)、TSV123にキャップをかぶせる(例えば、堆積技術を使用して)。
ブロック405Bにおいて、TSVに、ならびにパッケージング基板に配置されたダミーパッド(均一性/共平面性のための)に、はんだバンプ130を取り付ける。
ブロック405Cにおいて、微細相互接続(例えば、Cu対Cu(Cu-to-Cu))を使用して、アクセラレータをMemPowerチップ120に対面させて(F2F)接合する。
ブロック405Dにおいて、アクセラレータ110と、MemPowerチップ120のメモリ部分121との間のカバレッジを確実にするためにアンダーフィルを適用する(または事前に適用されたアンダーフィルを使用する)。
これが再構成されたウェハである場合、ダイス後の操作により、ほぼ完全な厚さ(約785μm)のダブル・チップ・スタックの選択および配置が可能になる。
ブロック410において、ウェハレベルまたはダイレベルのいずれかで、二層チップ・スタックをダイスし、パッケージング基板150のラミネートに接合する。
一実施形態では、ブロック410は、ブロック410Aおよびブロック410Bのうちの1つまたは複数を含むことができる。ブロック405Bが実行されない場合、ブロック410Aが実行されることを理解されたい。
ブロック410Aにおいて、接合を可能にするために、(i)はんだ付きCuピラーを使用する、または(ii)はんだを用いてアンダー・バンプ・メタラジを構築する、または(iii)事前にはんだ付けされた接合パッドをもつラミネートを使用する。これらの3つの方法(i、ii、およびiii)のいずれも、最終結果を達成するために、単独で、または他の方法のうちの1つまたは複数と組み合わせて使用することができる。Cuピラー法は、スタンドオフという追加の利点を与える(すなわち、2つのチップ・スタックとパッケージング基板との間の歪みを低減し、それゆえに、チップ-パッケージ相互作用(CPI)応力を低減する(一般に、12ppm/Cの熱膨張係数(CTE)の不整合))。
ブロック410Bにおいて、例えば微細接続を使用して、補助メモリ(HBM)をパッケージング基板に取り付ける。
図5は、本発明の一実施形態による、メイン・アクセラレータ・ダイに接合されたアナログ・ダイを有する例示的な異種集積構造体500を示す線図である。
異種集積構造体500は、メイン・アクセラレータ510(以下、交換可能に、「ハードウェア・アクセラレータ」、または「メイン・アクセラレータ」、または「メイン・ダイ」)と、MemPowerチップ120と、アナログ・ダイ540と、補助メモリ(高帯域幅メモリ(HBM))551と、PHY552と、メモリ・コントローラ553とを含む。一実施形態では、メイン・アクセラレータは、デジタル・アクセラレータである。構造体500において、メイン・アクセラレータ510の効率的な冷却を行い、メイン・アクセラレータ510を冷却するための高度な冷却技術の必要性を避けるために、メイン・アクセラレータ510は上部に配列される。
一実施形態では、アナログ・ダイ540は、例えば、限定はされないが、抵抗処理ユニット(RPU)ベース・アクセラレータなどのアクセラレータを実装することができる。当然、本発明の思想を維持しながら、他のタイプのアナログ・ベース・アクセラレータを使用することができる。アナログ・ダイ540のアナログ信号をデジタル信号に変換するために、アナログ-デジタル変換器(ADC)がアナログ・ダイ540またはメイン・アクセラレータ510に配置されてもよい。ADCは、RPUベース・アクセラレータを形成するRPUデバイスのクロスポイント・アレイの列に結合された電流積分器の出力部に接続することができる。RPUベース・アクセラレータを使用して、ディープ・ニューラル・ネットワークの訓練を加速することができる。これらおよび他の用途は、本発明の思想を維持しながら、当業者によって容易に企図される。
MemPowerチップ120は、メモリ・チップ121として実装されたメモリ部分を含み、電力シリコン貫通ビア123(TSV)のための拡大フレーム122をさらに含む。メイン・アクセラレータ510は、MemPowerチップ120およびアナログ・ダイ540に接続されて、中間構造体を形成し、中間構造体は、薄膜、または他の高密度パッケージング基板、またはSiインタポーザに取り付けられる。構造体500の関連するアタッチメントを以下で説明する。
極微細ピッチCu対Cu相互接続(<50μmピッチ)が、メイン・アクセラレータ/アナログ・チップ相互接続に(すなわち、メイン・アクセラレータ510をアナログ・チップ540に接続するために)使用される。
微細ピッチCuピラーおよびはんだキャップ相互接続は、メイン・アクセラレータ/MemPowerチップ相互接続に(すなわち、メイン・アクセラレータ510をMemPowerチップ120に接続するために)使用することができる。
微細ピッチCuピラーおよびはんだキャップ(55μmピッチ)相互接続は、HBM/ラミネート相互接続のために(すなわち、HBM551を薄膜、または他の高密度パッケージング基板、またはSiインタポーザ591(のラミネート)に接続するために)使用することができる。
微細ピッチCuピラーおよびはんだキャップ(55μmピッチ)を使用して、MemPowerチップに接合されたメイン・アクセラレータの中間構造体を、薄膜、または他の高密度パッケージング基板、またはSiインタポーザに取り付けることができる。
PHY552およびメモリ・コントローラ553は、MemPowerチップ120上にある。HBM551は、上述したように、メモリ容量を増加させるためにラミネートに接合することができる。
アナログ・ダイ540は、薄くすることができるが、TSVを含むことができない。アナログ・ダイ540は、F2Fでメモリ・チップ120に接合される。
アナログ・ダイ540は、メイン・アクセラレータ510と直接通信し、メイン・アクセラレータ510から出力を引き出す。
メイン・アクセラレータ510は、アナログ・ダイ540と通信し、MemPowerチップ120から出力を引き出す。
図6は、本発明の一実施形態による、アナログ・ダイがメイン・アクセラレータ・ダイに接合された別の例示的な異種集積構造体600を示す線図である。構造体600は、図6の実施形態のアナログ・チップ640を形成するために、構造体500のアナログ・チップ540が薄くされ、TSVを含むという点で構造体500と異なる。
メイン・ダイは、メイン・アクセラレータ510によって実装される。
構造体600において、アナログ・チップ640は、薄くされ、TSV641を有する。
アナログ・チップ640はメモリ・チップ121に最も近いが、メモリ・チップ121とメイン・アクセラレータ510の両方と直接通信する。
メイン・アクセラレータ510は、アナログ・チップ640と通信し、MemPowerチップ120から出力を引き出す。
アナログ・チップ640は、メイン・アクセラレータ510と直接通信し、メイン・アクセラレータ510から出力を引き出す。
図7~図8は、本発明の一実施形態による、図5および図6の異種集積構造体を形成する例示的な方法700を示す流れ図である。
ブロック705において、各々がメモリ・チップ121とTSV123のための拡大フレーム122とを含む1つまたは複数のMemPowerチップ120を有する処理済みMemPowerウェハから開始するか、またはそうでない場合は準備するか、あるいはその両方である。例示および明確さのために、MemPowerウェハは、以下、単一のMemPowerチップ120を有するとして説明される。TSV123のための拡大フレーム122は、TSVチップとして実装することができる。メモリ・チップ121のメモリ設計、すなわち、メモリ部分は、最後から2番目のBEOL太線レベルまで全面的に使用することができる。レチクル・サイズを、メモリ・チップ121の周囲のまわりにTSV列を収容するために少しだけ大きくしてもよい。MemPowerチップ120は、アンダー・バンプ・メタライゼーション(UBM)および最終相互接続(例えば、銅(Cu)パッド)までならびにそれらを含めて構築することができる。
一実施形態では、ブロック705は、ブロック705Aからブロック705Eのうちの1つまたは複数を含むことができる。
ブロック705Aにおいて、MemPowerチップ120を有するMemPowerウェハがハンドラで所定の位置に保持されている間にMemPowerウェハ120(50~100μmの公称最終厚さ)を薄くし、TSV123にキャップをかぶせる。
ブロック705Bにおいて、TSVに、ならびにパッケージング基板に配置されたダミーパッド(均一性/共平面性のための)に、はんだバンプ130を取り付ける。
ブロック705Cにおいて、微細相互接続(例えば、Cu対Cu)を使用して、アナログ・ダイ540をMemPowerチップ120に対面させて(F2F)接合する。
ブロック705Dにおいて、微細相互接続(例えば、Cu対Cu)を使用してMemPowerチップ120に、および極微細相互接続(例えば、Cu対Cu、グレード1)を使用してアナログ・ダイにメイン・アクセラレータ510を接合し、その結果、アナログ・ダイは、メイン・アクセラレータ510と、MemPowerチップ120のメモリ・チップ121との間に配列される。
ブロック705Eにおいて、メイン・アクセラレータ510、アナログ・ダイ540、およびMemPowerチップ120のメモリ部分121の間のカバレッジを確実にするために、アンダーフィルを適用する(または事前に適用されたアンダーフィルを使用する)。
これが再構成されたウェハである場合、ダイス後の操作により、ほぼ完全な厚さ(約785μm)のダブル・チップ・スタックの選択および配置が可能になる。
ブロック710において、ウェハレベルまたはダイレベルのいずれかで、二層チップ・スタックをダイスし、パッケージング基板150のラミネートに接合する。
一実施形態では、ブロック710は、ブロック710Aおよびブロック710Bのうちの1つまたは複数を含むことができる。ブロック705Bが実行されない場合、ブロック710Aが実行されることを理解されたい。
ブロック710Aにおいて、接合を可能にするために、(i)はんだ付きCuピラーを使用する、または(ii)はんだを用いてアンダー・バンプ・メタラジを構築する、または(iii)事前にはんだ付けされた接合パッドをもつラミネートを使用する。これらの3つの方法(i、ii、およびiii)のいずれも、最終結果を達成するために、単独で、または他の方法のうちの1つまたは複数と組み合わせて使用することができる。Cuピラー法は、スタンドオフという追加の利点を与える(すなわち、2つのチップ・スタックとパッケージング基板との間の歪みを低減し、それゆえに、チップ-パッケージ相互作用(CPI)応力を低減する(一般に、12ppm/Cの熱膨張係数(CTE)の不整合))。
ブロック710Bにおいて、例えば微細接続を使用して、補助メモリ(HBM)をパッケージング基板に取り付ける。
次に、本発明の1つまたは複数の実施形態で使用することができるCu対Cuピラー・ボンディング技術に関して説明する。微細接続または極微細接続への本明細書における言及は、このCu対Cuピラー・ボンディング技術を参照することができる。
最初に、トレンチが、基板または他の材料に形成される。トレンチは、好ましくは、先のとがった底部の代わりに平坦な底部をもつV形状を有する。シード層が、トレンチの上に堆積される。銅層が、シード層の上に堆積される。銅ピラーが、トレンチに形成されるか、またはそうでない場合はトレンチに配置されるか、あるいはその両方である。電気接続を形成するために、機械的、熱的、または他の手段を使用して、銅ピラーをトレンチの銅に接合する。
次に、本発明の1つまたは複数の実施形態により使用されるアンダー・バンプ・メタライゼーション(UBM)に関して説明する。
従来より、C4(制御されたコラプス・チップ接続)バンプなどのはんだバンプ(「はんだボール」とも呼ばれる)が、チップをチップ・キャリアにボンディングするために使用されている。本明細書で使用される「はんだ」という用語は、2つ以上の金属表面を一緒に接合するために、溶融され、次いで、冷却することができる金属、または金属化合物、または合金を指す。一般的に言えば、はんだは、150℃ないし250℃の範囲の溶融温度を有する。はんだバンプは、半導体デバイスの接触区域、相互接続ライン、またはパッドにボンディングされる、はんだの小さい球(はんだボール)とすることができる。いくつかの実施形態では、はんだバンプは、鉛フリーはんだ混合物、または鉛スズはんだから製作することができる。
ボール制限メタラジ(BLM:ball limiting metallurgy)(「アンダー・ボール・メタラジ(UBM)」とも呼ばれる)は、はんだボールを電気連通する場所とすることができる、はんだボールと構成要素との間のはんだ付け接続部のサイズおよび区域を画定するはんだ湿潤性端子メタラジである。BLMは、はんだボールの流れを所望の区域に限定する。いくつかの実施形態では、BLMは、チップ配線への接着および接触を行う。いくつかの例では、BLMは、接着剤層、バリア層、および導電層を含むことができ、それにより、デバイスのバック・エンド・オブ・ラインの構造体と、デバイスのバック・エンド・オブ・ラインの構造体に接続されるべき電気的構造体との間の、はんだバンプを使用した、相互接続のための経路が提供される。接着剤層、バリア層、および導電層は、デバイスとチップとの間の相互接続のための経路を提供する。接着剤層は、チタン(Ti)のような金属、またはチタン(Ti)とタングステン(W)の合金とすることができる。バリア層は、ニッケル(Ni)から構成することができる。メイン導電層は、一般に、銅(Cu)である。典型的なめっきされたBLM層は、スパッタされたTiとWの合金層、スパッタされたCu層、めっきされたNi層、およびめっきされたCu層を含む金属スタックから成ることができる。このプロセスは、銅シード層の減法エッチングを含む。このプロセスにおいて、銅の上部層の一部は、ウェット・エッチング・プロセスによってエッチングされる。エレクトロマイグレーションの改善が必要とされる場合、Cu層を2ミクロンのニッケルの下に導入して、電流分布を改善し、ピーク電流密度を低減させる。次いで、Cu層が、最初に、10ミクロンの厚さにめっきされ、それに続いて、2ミクロンのNi層と、上部Cu層とがめっきされる。この構造体の限界のうちの1つは、厳しいエレクトロマイグレーション・テストの下では、全部の銅厚さが消費され、それにより、高抵抗または電気的開路を介して故障がもたらされることがあることである。可能性のある解決策は、Cuピラーの厚さを20ミクロンより大きくなるように増加させることである。このやり方で、はんだは、ボール制限メタラジの底部の界面にぶつかる前に100%反応する。これらの厚さのCuピラー・システムは非常に硬い相互接続をもたらし、それは、従来のタックおよびリフロー・プロセスでは製造時に白色のバンプを生成することがある。
本発明の態様が所与の例示的なアーキテクチャに関して記載されるが、しかしながら、他のアーキテクチャ、構造体、基板材料、ならびにプロセスの特徴およびステップが、本発明の態様の範囲内で変更されてもよいことを理解されたい。
層、領域、または基板などの要素が別の要素の「上に(on)」または「上方に(over)」あると言及されている場合、他の要素の直接上にあってもよく、または介在する要素がさらに存在してもよいことをさらに理解されよう。対照的に、要素が、「直接上に」または「直接上方に」と言及されている場合、介在する要素は存在しない。要素が別の要素に「接続されている」または「結合されている」と言及されている場合、その要素は、他の要素に直接接続または結合されてもよく、あるいは介在する要素が存在してもよいことをさらに理解されよう。対照的に、要素が、別の要素に「直接接続されている」または「直接結合されている」と言及されている場合、介在する要素は存在しない。
本実施形態は、グラフィカル・コンピュータ・プログラミング言語で作り出し、コンピュータ・ストレージ媒体(ディスク、テープ、物理的ハード・ドライブ、またはストレージ・アクセス・ネットワーク内などの仮想ハード・ドライブなど)に格納することができる集積回路チップの設計を含むことができる。設計者が、チップ、またはチップを製作するために使用されるフォトリソグラフィック・マスクを製作しない場合、設計者は、結果として得られた設計を物理的手段によって(例えば、設計を格納するストレージ媒体のコピーを提供することによって)、または電子的に(例えば、インターネットを介して)、そのようなエンティティに、直接または間接的に送ることができる。次いで、格納された設計は、フォトリソグラフィック・マスクの製作のために適切なフォーマット(例えば、GDSII)に変換され、それには、一般に、ウェハ上に形成されるべき当該のチップ設計の多数のコピーが含まれる。フォトリソグラフィック・マスクは、エッチングされるかまたはそうでない場合は処理されるべきウェハ(またはその上の層、あるいはその両方)の区域を画定するために利用される。
本明細書に記載される方法は、集積回路チップの製作で使用することができる。結果として得られる集積回路チップは、製造者により、未加工ウェハ形態で(すなわち、複数個のパッケージ化されていないチップを有する単一のウェハとして)、ベア・ダイとして、またはパッケージ化された形態で頒布され得る。後者の場合、チップは、単一チップ・パッケージ(マザーボードもしくは他のより高いレベルのキャリアに付けられたリードを有するプラスチック・キャリアなど)に、またはマルチチップ・パッケージ(表面相互接続もしくは埋め込み相互接続のいずれかまたは両方を有するセラミック・キャリアなど)に装着される。いずれの場合も、次いで、チップは、(a)マザーボードなどの中間製品または(b)最終製品のいずれかの一部として、他のチップ、個別の回路要素、または他の信号処理デバイス、あるいはその組合せと一体化される。最終製品は、玩具および他の下位の用途から、ディスプレイ、キーボードまたは他の入力デバイス、および中央プロセッサを有する高度なコンピュータ製品にわたる集積回路チップを含む任意の製品であり得る。
材料化合物は、列挙された要素、例えば、SiGeに関して説明されることになることをさらに理解されたい。これらの化合物は、化合物内に異なる比率の元素を含み、例えば、SiGeは、SiGe1-xを含み、ここで、xは1以下である、などである。加えて、他の元素が化合物に含まれてもよく、依然として、本原理に従って機能することができる。追加の元素を有する化合物は、本明細書では合金といわれることになる。
本明細書で使用される用語は、特定の実施形態のみを説明するためのものであり、例示の実施形態を限定するように意図されていない。本明細書で使用される「ある(a)」、「1つの(an)」、および「その(the)」という単数形は、文脈が特に明確に指示しない限り、複数形もまた含むように意図されている。本明細書で使用される「備える(comprises)」、「備えている(comprising)」、「含む(includes)」、または「含んでいる(including)」、あるいはその組合せの用語は、明示された特徴、整数、ステップ、動作、要素、または構成要素、あるいはその組合せの存在を指定するが、1つまたは複数の他の特徴、整数、ステップ、動作、要素、構成要素、またはそのグループ、あるいはその組合せの存在または追加を排除しないことをさらに理解されよう。
「の真下に(beneath)」、「の下方に(below)」、「の下部に(lower)」、「の上方に(above)」、「の上部に(upper)」などのような空間的に相対的な用語が、本明細書において、説明を容易にするために、図に示されるような、ある要素または特徴の別の要素または特徴に対する関係を説明するため使用されることがある。空間的に相対的な用語は、図に示された方位に加えて、使用または動作時のデバイスの異なる方位を包含するように意図されていることを理解されよう。例えば、図中のデバイスがひっくり返される場合、他の要素または特徴「の下方に」または「の真下に」として記載された要素は、他の要素または特徴「の上方に」向けられることになる。したがって、「の下方に」という用語は、「の上方に」と「の下方に」の両方の方位を包含することができる。デバイスは、他の状態に配向させる(90度または他の方位に回転させる)ことができ、本明細書で使用される空間的に相対的な記述子は、それに応じて解釈され得る。加えて、層が2つの層「間」にあると言及される場合、その層が2つの層間の唯一の層であってもよく、または1つまたは複数の介在する層がさらに存在してもよいことをさらに理解されよう。
第1の、第2のなどの用語が、本明細書において、様々な要素を説明するために使用されることがあるが、これらの要素は、これらの用語によって限定されるべきでないことを理解されよう。これらの用語は、単に、ある要素を別の要素と区別するために使用される。したがって、以下で論じられる第1の要素は、本概念の範囲から逸脱することなく、第2の要素と呼ぶことができる。
本発明は、可能な技術的詳細レベルの集積におけるシステム、方法、またはコンピュータ・プログラム製品、あるいはその組合せであり得る。コンピュータ・プログラム製品は、プロセッサに本発明の態様を実行させるためのコンピュータ可読プログラム命令を有する1つのコンピュータ可読ストレージ媒体(または複数の媒体)を含むことができる。
コンピュータ可読ストレージ媒体は、命令実行デバイスによって使用される命令を保持および格納することができる有形のデバイスとすることができる。コンピュータ可読ストレージ媒体は、例えば、限定はされないが、電子ストレージ・デバイス、磁気ストレージ・デバイス、光学ストレージ・デバイス、電磁気ストレージ・デバイス、半導体ストレージ・デバイス、または前述のものの適切な組合せとすることができる。コンピュータ可読ストレージ媒体のより具体的な例の非網羅的なリストには、以下のもの、すなわち、ポータブル・コンピュータ・ディスケット、ハード・ディスク、ランダム・アクセス・メモリ(RAM)、読出し専用メモリ(ROM)、消去可能プログラマブル読出し専用メモリ(EPROMまたはフラッシュ・メモリ)、スタティック・ランダム・アクセス・メモリ(SRAM)、ポータブル・コンパクト・ディスク読出し専用メモリ(CD-ROM)、デジタル・バーサタイル・ディスク(DVD)、メモリ・スティック、フロッピー(R)・ディスク、パンチカードまたは命令が記録された溝内の隆起構造体などの機械的符号化デバイス、および前述のものの適切な組合せが含まれる。本明細書で使用されるコンピュータ可読ストレージ媒体は、電波もしくは他の自由に伝播する電磁波、導波路もしくは他の伝送媒体を通って伝搬する電磁波(例えば、光ファイバ・ケーブルを通過する光パルス)、またはワイヤを通して伝送される電気信号などのそれ自体一過性信号であると解釈されるべきではない。
本明細書に記載されるコンピュータ可読プログラム命令は、コンピュータ可読ストレージ媒体からそれぞれのコンピューティング/処理デバイスに、あるいはネットワーク、例えば、インターネット、ローカル・エリア・ネットワーク、ワイド・エリア・ネットワーク、または無線ネットワーク、あるいはその組合せを介して外部コンピュータまたは外部ストレージ・デバイスにダウンロードされてもよい。ネットワークは、銅伝送ケーブル、光伝送ファイバ、無線伝送、ルータ、ファイアウォール、スイッチ、ゲートウェイ・コンピュータ、またはエッジ・サーバ、あるいはその組合せを含むことができる。各コンピューティング/処理デバイスのネットワーク・アダプタ・カードまたはネットワーク・インタフェースは、ネットワークからコンピュータ可読プログラム命令を受け取り、コンピュータ可読プログラム命令を、それぞれのコンピューティング/処理デバイス内のコンピュータ可読ストレージ媒体に格納するために転送する。
本発明の動作を実行するためのコンピュータ可読プログラム命令は、アセンブラ命令、命令セット・アーキテクチャ(ISA)命令、機械命令、機械依存命令、マイクロコード、ファームウェア命令、状態設定データ、またはSMALLTALK(R)、C++などのようなオブジェクト指向プログラミング言語、および「C」プログラミング言語もしくは類似のプログラミング言語などの従来の手続き型プログラミング言語を含む1つまたは複数のプログラミング言語の任意の組合せで書かれたソース・コードもしくはオブジェクト・コードのいずれかとすることができる。コンピュータ可読プログラム命令は、完全にユーザのコンピュータで、部分的にユーザのコンピュータで、スタンドアロン・ソフトウェア・パッケージとして、部分的にユーザのコンピュータでおよび部分的にリモート・コンピュータで、または完全にリモート・コンピュータもしくはサーバで実行することができる。後者のシナリオでは、リモート・コンピュータは、ローカル・エリア・ネットワーク(LAN)もしくはワイド・エリア・ネットワーク(WAN)を含む任意のタイプのネットワークを通してユーザのコンピュータに接続されてもよく、または接続が外部コンピュータに行われてもよい(例えば、インターネット・サービス・プロバイダを使用してインターネットを通して)。いくつかの実施形態では、例えば、プログラマブル・ロジック回路、フィールド・プログラマブル・ゲート・アレイ(FPGA)、またはプログラマブル・ロジック・アレイ(PLA)を含む電子回路は、本発明の態様を実行するために、コンピュータ可読プログラム命令の状態情報を利用して電子回路を個人専用にすることによってコンピュータ可読プログラム命令を実行することができる。
本発明の態様は、本発明の実施形態による方法、装置(システム)、およびコンピュータ・プログラム製品の流れ図またはブロック図あるいはその両方を参照して本明細書に記載されている。流れ図またはブロック図あるいはその両方の各ブロック、および流れ図またはブロック図あるいはその両方におけるブロックの組合せは、コンピュータ可読プログラム命令によって実施されることが理解されよう。
これらのコンピュータ可読プログラム命令は、コンピュータまたは他のプログラマブル・データ処理装置のプロセッサを介して実行される命令が流れ図またはブロック図あるいはその両方の1つまたは複数のブロックにおいて指定された機能/動作を実施するための手段を作り出すように、汎用コンピュータ、専用コンピュータ、または他のプログラマブル・データ処理装置のプロセッサに提供されてマシンを作り出すものであってよい。これらのコンピュータ可読プログラム命令はまた、命令が格納されたコンピュータ可読ストレージ媒体が流れ図またはブロック図あるいはその両方の1つまたは複数のブロックにおいて指定された機能/動作の態様を実施する命令を含む製品を構成するように、コンピュータ可読ストレージ媒体に格納され、コンピュータ、プログラマブル・データ処理装置、または他のデバイス、あるいはその組合せに、特定の方法で機能するように指示することができるものであってもよい。
コンピュータ可読プログラム命令はまた、コンピュータ、他のプログラマブル装置、または他のデバイスで実行される命令が流れ図またはブロック図あるいはその両方の1つまたは複数のブロックにおいて指定された機能/動作を実施するように、コンピュータ実施プロセスを生成すべく、コンピュータ、他のプログラマブル・データ処理装置、または他のデバイスにロードされて、コンピュータ、他のプログラマブル装置、または他のデバイスで一連の動作ステップを実行させるものであってもよい。
図における流れ図およびブロック図は、本発明の様々な実施形態によるシステム、方法、およびコンピュータ・プログラム製品の可能な実施態様のアーキテクチャ、機能、および動作を示す。これに関しては、流れ図またはブロック図の各ブロックは、指定された論理機能を実施するための1つまたは複数の実行可能命令を含む命令のモジュール、セグメント、または一部を表すことができる。いくつかの代替の実施態様では、ブロックに記された機能は、図に記された順序から外れて行われてもよい。例えば、連続して示された2つのブロックは、実際には、実質的に同時に実行されてもよく、またはブロックは、時には、関連する機能に応じて逆の順序で実行されてもよい。ブロック図または流れ図あるいはその両方の各ブロック、およびブロック図または流れ図あるいはその両方のブロックの組合せは、指定された機能または動作を実行するかあるいは専用ハードウェア命令とコンピュータ命令の組合せを実行する専用ハードウェア・ベース・システムで実施されることにも留意されたい。
本発明の「1つの実施形態」または「一実施形態」、ならびにそれらの他の変形への本明細書における言及は、実施形態に関連して記載された特定の特徴、構造体、特性などが、本発明の少なくとも1つの実施形態に含まれることを意味する。したがって、本明細書の全体にわたって様々な場所に現れる「1つの実施形態において」または「一実施形態において」という語句ならびに他の変形の出現は、すべてが同じ実施形態を言及しているとは限らない。
例えば、「A/B」、「AまたはBあるいはその両方(A and/or B)」、および「AおよびBのうちの少なくとも1つ(at least one of A and B)」の場合における、以下の「/」、「~または…あるいはその両方(and/or)」、および「のうちの少なくとも1つ(at least one of)」のいずれかの使用は、第1の列挙された選択肢(A)のみの選択、または第2の列挙された選択肢(B)のみの選択、または両方の選択肢(AおよびB)の選択を包含するように意図される。さらなる例として、「A、B、またはC、あるいはそれらの組合せ」および「A、B、およびCのうちの少なくとも1つ」の場合において、そのような言い回しは、第1の列挙された選択肢(A)のみの選択、または第2の列挙された選択肢(B)のみの選択、または第3の列挙された選択肢(C)のみの選択、または第1および第2の列挙された選択肢(AおよびB)のみの選択、または第1および第3の列挙された選択肢(AおよびC)のみの選択、または第2および第3の列挙された選択肢(BおよびC)のみの選択、または3つの選択肢すべて(AおよびBおよびC)の選択を包含することが意図される。当業者は容易に理解するように、これは、列挙される同数の項目について拡張することができる。
システムおよび方法の好ましい実施形態(例示するものであり、限定するものではない)を説明したが、当業者なら上述の教示に照らして変形および変更を行うことができることに留意されたい。それゆえに、添付の特許請求の範囲によって概説されるように、本発明の範囲内にある開示された特定の実施形態に改変がなされることを理解されたい。このように、特許法によって要求される詳細および特徴とともに本発明の態様を説明したが、特許請求され、特許証によって保護されることが望まれるものが、添付の特許請求の範囲に記載されている。

Claims (18)

  1. 3次元(3D)半導体メモリ構造体であって、
    メモリおよびシリコン貫通ビア(TSV)を含むメモリ・チップと、
    前記チップ上に配列され、前記チップに結合されたハードウェア・アクセラレータと、
    前記3D半導体メモリ構造体および前記ハードウェア・アクセラレータの下に配列され、前記TSVと、前記メモリ・チップおよび前記ハードウェア・アクセラレータの外部入力部および出力部とに取り付けられた基板と
    前記ハードウェア・アクセラレータが、前記メモリ・チップに対面して結合されることと、
    前記基板に結合され、メモリ動作を実行するように構成された補助高帯域幅メモリ(HBM)を含み、前記補助HBMが、事前にはんだ付けされたラミネートを使用して前記基板に結合されることと、
    前記メモリ・チップが、HBM PHYおよびHBMメモリ・コントローラを含み、前記HBM PHYおよび前記HBMメモリ・コントローラが、前記補助HBMをサポートし、バック・エンド・オブ・ライン(BEOL)金属ラインを使用して前記補助HBMに直接電気的に結合されること
    を含む、3次元(3D)半導体メモリ構造体。
  2. 前記TSVが、前記メモリ・チップの周囲に配列される、請求項に記載の構造体。
  3. 前記メモリ・チップおよび前記ハードウェア・アクセラレータは、前記基板が取り付けられる中間構造体体を形成するように結合される、請求項に記載の構造体。
  4. 前記基板が、事前にはんだ付けされたラミネートを含むパッケージング基板である、請求項に記載の構造体。
  5. 前記メモリ・チップが、スタティック・ランダム・アクセス・メモリを含む、請求項に記載の構造体。
  6. 前記メモリ・チップが、前記メモリ動作を制御するためのメモリ・コントローラをさらに含む、請求項に記載の構造体。
  7. 前記HBM PHYおよび前記HBMメモリ・コントローラが、ウェハのBEOLの中間層誘電体層に配置される、請求項に記載の構造体。
  8. 前記TSVが、前記メモリ・チップの周囲領域を通過する、請求項に記載の構造体。
  9. 前記メモリ・チップと前記ハードウェア・アクセラレータとの間に配列され、前記ハードウェア・アクセラレータに対面して結合されたアナログ・チップを含み、前記基板が、前記構造体、前記ハードウェア・アクセラレータ、および前記アナログ・チップの下にある、請求項1に記載の構造体。
  10. 前記アナログ・チップが、Cuピラーおよびはんだキャップを使用して前記ハードウェア・アクセラレータに結合される、請求項に記載の構造体。
  11. 前記アナログ・チップには、TSVがない、請求項に記載の構造体。
  12. 前記アナログ・チップが、1つまたは複数のTSVを含む、請求項に記載の構造体。
  13. 前記ハードウェア・アクセラレータが、銅対銅ボンドで使用される銅ピラーによって前記メモリ・チップに結合される、請求項に記載の構造体。
  14. 3次元(3D)半導体メモリ構造体を形成するための方法であって、
    メモリおよびシリコン貫通ビア(TSV)を含むメモリ・チップを受け取るステップと、
    ハードウェア・アクセラレータを、前記メモリ・チップに結合させるように前記メモリ・チップ上に配列するステップと、
    基板を、前記3D半導体メモリ構造体および前記ハードウェア・アクセラレータの下に配列し、前記TSVと、前記メモリ・チップおよび前記ハードウェア・アクセラレータの外部入力部および出力部とに取り付けるステップと
    前記ハードウェア・アクセラレータが、前記メモリ・チップに対面して結合されるステップと、
    前記基板に結合され、メモリ動作を実行するように構成された補助高帯域幅メモリ(HBM)を含み、前記補助HBMが、事前にはんだ付けされたラミネートを使用して前記基板に結合されるステップと、
    前記メモリ・チップが、HBM PHYおよびHBMメモリ・コントローラを含み、前記HBM PHYおよび前記HBMメモリ・コントローラが、前記補助HBMをサポートし、バック・エンド・オブ・ライン(BEOL)金属ラインを使用して前記補助HBMに直接電気的に結合されるステップと
    を含む、方法。
  15. 前記TSVが、前記メモリ・チップの周囲に配列される、請求項14に記載の方法。
  16. 前記チップおよび前記ハードウェア・アクセラレータは、前記基板が取り付けられる中間構造体体を形成するように結合される、請求項14に記載の方法。
  17. 前記方法が、
    アナログ・チップを、前記メモリ・チップと前記ハードウェア・アクセラレータとの間に配列し、前記ハードウェア・アクセラレータに対面して結合させるステップ
    をさらに含み、
    前記基板が、前記構造体、前記ハードウェア・アクセラレータ、および前記アナログ・チップの下に配列される、請求項14に記載の方法。
  18. 前記配列するステップの前に前記アナログ・チップを薄くするステップをさらに含む、請求項17に記載の方法。
JP2022501110A 2019-07-18 2020-06-16 3d半導体メモリ構造体を形成する方法、構造体 Active JP7442616B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/515,877 US11211378B2 (en) 2019-07-18 2019-07-18 Heterogeneous integration structure for artificial intelligence computing
US16/515,877 2019-07-18
PCT/IB2020/055605 WO2021009580A1 (en) 2019-07-18 2020-06-16 Heterogeneous integration structure for artificial intelligence computing

Publications (2)

Publication Number Publication Date
JP2022540468A JP2022540468A (ja) 2022-09-15
JP7442616B2 true JP7442616B2 (ja) 2024-03-04

Family

ID=74210225

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022501110A Active JP7442616B2 (ja) 2019-07-18 2020-06-16 3d半導体メモリ構造体を形成する方法、構造体

Country Status (6)

Country Link
US (1) US11211378B2 (ja)
JP (1) JP7442616B2 (ja)
CN (1) CN114072781A (ja)
DE (1) DE112020002861T5 (ja)
GB (1) GB2600585B (ja)
WO (1) WO2021009580A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220044101A1 (en) * 2020-08-06 2022-02-10 Micron Technology, Inc. Collaborative sensor data processing by deep learning accelerators with integrated random access memory
US11791326B2 (en) 2021-05-10 2023-10-17 International Business Machines Corporation Memory and logic chip stack with a translator chip
US11887908B2 (en) * 2021-12-21 2024-01-30 International Business Machines Corporation Electronic package structure with offset stacked chips and top and bottom side cooling lid

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005217388A (ja) 2004-01-30 2005-08-11 Phoenix Precision Technology Corp 半導体パッケージ基板のプリ半田構造及びその製法
JP2008010859A (ja) 2006-06-02 2008-01-17 Renesas Technology Corp 半導体装置
JP2015507843A (ja) 2011-12-22 2015-03-12 インテル・コーポレーション ウィンドウインタポーザを有する3d集積回路パッケージ
WO2016199437A1 (ja) 2015-06-12 2016-12-15 株式会社ソシオネクスト 半導体装置
JP2018010920A (ja) 2016-07-12 2018-01-18 富士通株式会社 半導体装置及びその製造方法
JP2018022903A (ja) 2001-03-02 2018-02-08 クゥアルコム・インコーポレイテッドQualcomm Incorporated 混合アナログおよびデジタル集積回路
US20180176006A1 (en) 2016-12-16 2018-06-21 Intel Corporation Active interposer for localized programmable integrated circuit reconfiguration
US20180286826A1 (en) 2017-03-29 2018-10-04 Xilinx, Inc. Methods of interconnect for high density 2.5d and 3d integration

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5561622A (en) 1993-09-13 1996-10-01 International Business Machines Corporation Integrated memory cube structure
US20100032820A1 (en) 2008-08-06 2010-02-11 Michael Bruennert Stacked Memory Module
US7858441B2 (en) * 2008-12-08 2010-12-28 Stats Chippac, Ltd. Semiconductor package with semiconductor core structure and method of forming same
US9224647B2 (en) * 2010-09-24 2015-12-29 Stats Chippac, Ltd. Semiconductor device and method of forming TSV interposer with semiconductor die and build-up interconnect structure on opposing surfaces of the interposer
US9337116B2 (en) * 2010-10-28 2016-05-10 Stats Chippac, Ltd. Semiconductor device and method of forming stepped interposer for stacking and electrically connecting semiconductor die
US9153520B2 (en) 2011-11-14 2015-10-06 Micron Technology, Inc. Stacked semiconductor die assemblies with multiple thermal paths and associated systems and methods
KR20140023706A (ko) 2012-08-17 2014-02-27 에스케이하이닉스 주식회사 반도체 장치의 파워 tsv
KR20150100042A (ko) 2014-02-24 2015-09-02 한국전자통신연구원 3차원 다이 스택 디램에서의 가속 시스템
KR102258743B1 (ko) 2014-04-30 2021-06-02 삼성전자주식회사 반도체 패키지의 제조 방법, 이에 의해 형성된 반도체 패키지 및 이를 포함하는 반도체 장치
TWI556247B (zh) 2014-11-12 2016-11-01 財團法人工業技術研究院 錯誤容忍穿矽孔介面及其控制方法
US20160379686A1 (en) 2015-06-29 2016-12-29 Microsoft Technology Licensing, Llc Server systems with hardware accelerators including stacked memory
JP2018074065A (ja) * 2016-11-01 2018-05-10 富士通株式会社 半導体装置
US10319690B2 (en) 2017-04-28 2019-06-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10545860B2 (en) * 2017-08-10 2020-01-28 Samsung Electronics Co., Ltd. Intelligent high bandwidth memory appliance
US10474600B2 (en) 2017-09-14 2019-11-12 Samsung Electronics Co., Ltd. Heterogeneous accelerator for highly efficient learning systems
US11593623B2 (en) 2017-12-22 2023-02-28 Intel Corporation Spiking neural network accelerator using external memory
US10861867B2 (en) 2018-06-28 2020-12-08 Intel Corporation Memory device with reduced capacitance

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018022903A (ja) 2001-03-02 2018-02-08 クゥアルコム・インコーポレイテッドQualcomm Incorporated 混合アナログおよびデジタル集積回路
JP2005217388A (ja) 2004-01-30 2005-08-11 Phoenix Precision Technology Corp 半導体パッケージ基板のプリ半田構造及びその製法
JP2008010859A (ja) 2006-06-02 2008-01-17 Renesas Technology Corp 半導体装置
JP2015507843A (ja) 2011-12-22 2015-03-12 インテル・コーポレーション ウィンドウインタポーザを有する3d集積回路パッケージ
WO2016199437A1 (ja) 2015-06-12 2016-12-15 株式会社ソシオネクスト 半導体装置
JP2018010920A (ja) 2016-07-12 2018-01-18 富士通株式会社 半導体装置及びその製造方法
US20180176006A1 (en) 2016-12-16 2018-06-21 Intel Corporation Active interposer for localized programmable integrated circuit reconfiguration
US20180286826A1 (en) 2017-03-29 2018-10-04 Xilinx, Inc. Methods of interconnect for high density 2.5d and 3d integration

Also Published As

Publication number Publication date
US11211378B2 (en) 2021-12-28
US20210020627A1 (en) 2021-01-21
GB202200773D0 (en) 2022-03-09
JP2022540468A (ja) 2022-09-15
WO2021009580A1 (en) 2021-01-21
DE112020002861T5 (de) 2022-03-31
GB2600585B (en) 2024-03-27
CN114072781A (zh) 2022-02-18
GB2600585A (en) 2022-05-04

Similar Documents

Publication Publication Date Title
US12094853B2 (en) Semiconductor chip with redundant thru-silicon-vias
JP7442616B2 (ja) 3d半導体メモリ構造体を形成する方法、構造体
Lau et al. 3D Integration
Zhang et al. Heterogeneous 2.5 D integration on through silicon interposer
US9761477B2 (en) Pre-package and methods of manufacturing semiconductor package and electronic device using the same
Sakuma et al. 3D chip-stacking technology with through-silicon vias and low-volume lead-free interconnections
US20220139839A1 (en) Integrated Circuit Package and Method
US11672111B2 (en) Semiconductor structure and method for manufacturing a plurality thereof
Knickerbocker et al. Three-dimensional silicon integration
US20190006264A1 (en) Embedded bridge with through-silicon vias
KR101752376B1 (ko) 마주보는(face­to­face, f2f) 하이브리드 구조를 갖는 집적 회로(ic), ic 조립체, ic 제품 및 이들을 제조하는 방법, 그리고 이를 위한 컴퓨터-판독가능 매체
KR102537735B1 (ko) 집적 회로 패키지 및 방법
US11387222B2 (en) Integrated circuit package and method
TWI778489B (zh) 製作雙側半導體裝置之方法及相關裝置、總成、封裝及系統
CN112687670B (zh) 集成电路结构及其形成方法
TW202209598A (zh) 半導體系統封裝及其製造方法
Lau Chiplet design and heterogeneous integration packaging
TW202243169A (zh) 半導體元件以及其形成方法
CN111164752A (zh) 分叉的存储器裸芯模块半导体装置
US11791326B2 (en) Memory and logic chip stack with a translator chip
Lau Chiplet Communications (Bridges)
Lau Multiple System and Heterogeneous Integration with TSV-Interposers
Meyer et al. PACKAGING FOR MOBILE APPLICATIONS.
TW202326998A (zh) 具有堆疊結構的半導體元件

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20220518

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20221121

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20231116

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20231121

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240130

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240205

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240220

R150 Certificate of patent or registration of utility model

Ref document number: 7442616

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150