JP7284962B2 - システム・イン・パッケージ技術に基づくプロセス設計方法、システム、媒体及びデバイス - Google Patents

システム・イン・パッケージ技術に基づくプロセス設計方法、システム、媒体及びデバイス Download PDF

Info

Publication number
JP7284962B2
JP7284962B2 JP2021568681A JP2021568681A JP7284962B2 JP 7284962 B2 JP7284962 B2 JP 7284962B2 JP 2021568681 A JP2021568681 A JP 2021568681A JP 2021568681 A JP2021568681 A JP 2021568681A JP 7284962 B2 JP7284962 B2 JP 7284962B2
Authority
JP
Japan
Prior art keywords
design
package
model
data
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021568681A
Other languages
English (en)
Other versions
JP2022533379A (ja
Inventor
▲勝▼杰 ▲銭▼
▲紀▼宏 武
▲継▼▲碩▼ ▲劉▼
▲豊▼收 ▲劉▼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Vayo Shanghai Technology Co Ltd
Original Assignee
Vayo Shanghai Technology Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Vayo Shanghai Technology Co Ltd filed Critical Vayo Shanghai Technology Co Ltd
Publication of JP2022533379A publication Critical patent/JP2022533379A/ja
Application granted granted Critical
Publication of JP7284962B2 publication Critical patent/JP7284962B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/20Configuration CAD, e.g. designing by assembling or positioning modules selected from libraries of predesigned modules
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2113/00Details relating to the application field
    • G06F2113/18Chip packaging
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2113/00Details relating to the application field
    • G06F2113/20Packaging, e.g. boxes or containers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

本発明は、システム・イン・パッケージ技術の分野に属し、システム・イン・パッケージ技術の設計方法に関し、特に、システム・イン・パッケージ技術に基づくプロセス設計方法、システム、媒体及びデバイスに関する。
100年にわたる電子技術の急激な発展に伴って、電子製品サイズの小型化が進んでいる。また、電子製品の性能も向上し続けており、ミクロンレベルからナノレベルへと変化している。このことから、エレクトロニクス製造産業への要求も高まる一方である。パッケージは電子製品の後段の加工工程である。従来のパッケージは主に3つの機能を発揮する。第一に、電子的なコア機能部分を保護することにより、外界からの影響や破損を回避する。第二に、電子機能を外界と連携させることにより、電子部品の機能を実現する。第三に、物理的スケールに互換性を持たせる。ベアチップのサイズは、ボードレベルの接続回路にとっては小さ過ぎるため、パッケージ化することにより外部との接続を実現する必要がある。集積回路の登場、特に超大規模集積回路の登場に伴って、電子部品に対する要求はますます高まっている。システム・イン・パッケージ技術(SIP)とは、1つのパッケージ内に複数のベアチップと周辺部品を集積する技術であって、積層、敷設、基板埋設等の実装技術を利用して、電子システムの小型化、高性能化、多機能化、高信頼性及び低コストといった特性を実現するものである。
現在、エレクトロニクス製造業界では、システム・イン・パッケージ技術を利用してチップのパッケージ化及び製造を行う際に、主として、設計、シミュレーション、生産という3つのステップが関与している。しかし、現在の業界内では、これら3つのステップがそれほど連携していない。データ設計から最終的な量産までの工程は全体フローが非常に複雑かつ冗長であり、大変な時間を要する。そのため、自ずと製品の競争力が低下し、生産コストが高騰している。従来の操作方法には、例えば以下のような様々な弊害が存在する。
(1)工程全体において、非常に多くの手動操作が存在するために、生産・製造の安定性が低下している。
(2)部材モデルを手動で構築する際に、オペレーターの時間を大量に浪費している。加えて、工程が煩雑であり、ミスによるモデリングのやり直しが発生することもある。
(3)従来の方法は、オペレーターの能力に対する要求が比較的高い。
(4)生産・製造前に、設計データについて、然るべき組立・製造やプロセスのバーチャル検査を実施していない。そのため、その後の生産内で問題があった場合には、生産サイクル全体が大幅に遅延することになり、生産コストの上昇や製品競争力の低下が発生する。
電子製品の世代交代の加速、スマート製造や中国製造2025(インダストリー4.0)の推進、インターネット+製造及びスマート工場の理念が浸透するに伴って、エレクトロニクス製造業界全体がいっそう効率的で確実な製造方法と技術を模索するようになっている。
そのため、多くの繰り返し作業が回避不可能であり、生産・製造工程が煩雑であるほか、ミスが発生しやすい等の従来技術における欠点を解決するために、いかにして、システム・イン・パッケージ技術に基づくプロセス設計方法、システム、媒体及びデバイスを提供するかが当業者にとって早急に解決を要する技術的課題となっている。
上記の従来技術の欠点に鑑み、本発明の目的は、多くの繰り返し作業が回避不可能であり、生産・製造工程が煩雑であるほか、ミスが発生しやすいとの従来技術における課題を解決するために、システム・イン・パッケージ技術に基づくプロセス設計方法、システム、媒体及びデバイスを提供することである。
上記の目的及びその他関連の目的を実現するために、本発明の一つの態様は、システム・イン・パッケージ技術に基づくプロセス設計方法を提供する。前記システム・イン・パッケージ技術に基づくプロセス設計方法は、以下を含んでいる。即ち、レイアウトの設計データと、当該レイアウトに関連する3次元モデルデータを取得する。BOMデータを含む前記設計データにおける設計要素属性情報に基づき、前記設計データと前記3次元モデルデータの関連付け及びマッチングを行うとともに、前記設計データと3次元モデルデータを一体的パッケージモデルとして組み立てる。前記一体的パッケージモデルに対して組立プロセス解析を行うことにより、設計の修正及び基準とするための非合理的設計部位を見出し、前記非合理的設計部位が存在していた場合には、前記非合理的設計部位をフィードバックして修正して前記一体的パッケージモデルを再生成し、再生成した一体的パッケージモデルから生産・製造するためのパッケージプロセス製造プログラムをエクスポートし、前記非合理的設計部位が存在しなかった場合には、前記一体的パッケージモデルから生産・製造のためのパッケージプロセス製造プログラムを直接エクスポートすることを行う。前記組立プロセス解析では、前記一体的パッケージモデルの設計仕様及びシステム性能を検査する。
本発明の一実施例において、前記設計データにおける設計要素属性情報に基づき、前記設計データと前記3次元モデルデータの関連付け及びマッチングを行う前記ステップは、前記部材、ベアチップ及び/又はフレームのベンダー材料コード、パッケージサイズ情報、ボンディングワイヤ情報及び/又は3次元座標情報が含まれる前記設計データから、部材のベンダー材料コード、ベアチップのベンダー材料コード、及び/又は部材のパッケージサイズ情報が含まれる設計要素属性情報を抽出することと、実体モデルライブラリから、材料コードが前記設計データと同一の部材及びベアチップの3次元モデルを検索し、検索した3次元モデルに基づいて関連する3次元データ及び動作パラメータを抽出することと、部材及びベアチップの材料コード情報に基づき、前記設計データにおける部材のベンダー材料コード、ベアチップのベンダー材料コード、及びフレームのパッケージサイズ情報を、前記実体モデルライブラリ内の同一材料コードの部材、ベアチップの3次元データ及び動作パラメータとマッチングして、同一型番の一体データとすることとを含んでいる。
本発明の一実施例において、前記動作パラメータには、質量、材料及び/又は比熱容量が含まれている。
本発明の一実施例において、前記一体的パッケージモデルに対して組立プロセス解析を行うことにより、設計の修正及び基準とするための非合理的設計部位を見出すための前記ステップでは、前記一体的パッケージモデルにおける基板情報を検査すること、あるいは、ボンディングワイヤのプロセス製造ルールに基づき、前記一体的パッケージモデルに対してボンディングワイヤ解析を行うこと、あるいは、前記一体的パッケージモデルにおける各設計要素に対して組立解析を行うこと、あるいは、ベアチップ/表面実装の製造プロセスルールに基づき、前記一体的パッケージモデルに対してプロセス解析を行うことのいずれかを行う。
本発明の一実施例において、前記一体的パッケージモデルにおける基板情報を検査する際には、基板の部品、パッド、配線、ビアホール及び/又は銅メッキを検査する。
本発明の一実施例において、前記一体的パッケージモデルにおける各設計要素(アセンブリ)に対して組立解析を行うとは、前記一体的パッケージモデルに対してシミュレーションを行うことを意味する。
本発明の一実施例において、前記一体的パッケージモデルから生産・製造するためのパッケージプロセス製造プログラムを直接エクスポートするステップでは、予め定められたボンダールールに基づいて、前記設計データにおけるボンディングワイヤ情報から、生産・製造工程においてボンダーが実行するボンダープログラムを生成する、あるいは、前記設計データにおけるベアチップ及び表面実装対象の部材情報に基づき、予め定められたチップマウンタールールに従って、生産・製造工程においてチップマウンターが実行するチップマウンタープログラムを生成する。
本発明のもう一つの態様は、システム・イン・パッケージ技術に基づくプロセス設計システムを提供する。前記システム・イン・パッケージ技術に基づくプロセス設計システムは、レイアウトの設計データと、当該レイアウトに関連する3次元モデルデータを取得する取得モジュールと、BOMデータを含む前記設計データにおける設計要素属性情報に基づき、前記設計データと前記3次元モデルデータの関連付け及びマッチングを行うとともに、前記設計データと3次元モデルデータを一体的パッケージモデルとして組み立てるモデル生成モジュールと、前記一体的パッケージモデルに対して組立プロセス解析を行うことにより、設計の修正及び基準とするための非合理的設計部位を見出し、前記非合理的設計部位が存在していた場合には、前記非合理的設計部位をフィードバックして修正して前記一体的パッケージモデルを再生成し、再生成した一体的パッケージモデルから生産・製造するためのパッケージプロセス製造プログラムをエクスポートし、前記非合理的設計部位が存在しなかった場合には、前記一体的パッケージモデルから生産・製造するためのパッケージプロセス製造プログラムを直接エクスポートすることを行う生産解析モジュールと、を含み、前記一体的パッケージモデルに対して組立プロセス解析を行うことにより、設計の修正及び基準とするための非合理的設計部位を見出すことは、前記一体的パッケージモデルにおける基板情報を検査すること、あるいは、ボンディングワイヤのプロセス製造ルールに基づき、前記一体的パッケージモデルに対してボンディングワイヤ解析を行うこと、あるいは、前記一体的パッケージモデルにおける各設計要素に対して組立解析を行うこと、あるいは、ベアチップ/表面実装の製造プロセスルールに基づき、前記一体的パッケージモデルに対してプロセス解析を行うことのいずれかを行う
本発明の更なる態様は、コンピュータプログラムが記憶されたコンピュータ可読記憶媒体を提供する。当該プログラムがプロセッサにより実行される際に、上記のシステム・イン・パッケージ技術に基づくプロセス設計方法が実現される。
本発明の最後の態様はデバイスを提供する。当該デバイスは、プロセッサ及びメモリを含んでいる。前記メモリはコンピュータプログラムを記憶するために用いられる。前記プロセッサは、前記デバイスに上記のシステム・イン・パッケージ技術に基づくプロセス設計方法を実行させるように、前記メモリに記憶されているコンピュータプログラムを実行するために用いられる。
上述したように、本発明で記載するシステム・イン・パッケージ技術に基づくプロセス設計方法、システム、媒体及びデバイスは、以下の有益な効果を有している。
従来のシミュレーション生産のフローと比較して、本発明では、多くの繰り返し作業を排除可能であり、手動を自動化に置き換えることにより、従来の方法と比べて60%~80%の時間が節約される。加えて、操作の難易度が下がり、設計からシミュレーション、生産・製造までの工程が簡略化される。また、設計データに対して、組立・製造及びプロセスのバーチャル解析を行い、生産・製造前に問題を明らかにすることにより、製造リスクを低下させる。これにより、生産コストが低下し、電子製品の競争力が大幅に向上する。
図1Aは、本発明におけるシステム・イン・パッケージ技術に基づくプロセス設計方法の一実施例の原理を示すフローチャートである。 図1Bは、本発明におけるシステム・イン・パッケージ技術に基づくプロセス設計方法の一実施例のフローの概略図である。 図2は、本発明におけるシステム・イン・パッケージ技術に基づくプロセス設計システムの一実施例の構造を示す原理図である。 図3は、本発明におけるシステム・イン・パッケージ技術に基づくプロセス設計方法の一実施例のデバイスの接続図である。 図4は、本発明におけるシステム・イン・パッケージ技術に基づくプロセス設計方法の一実施例のベアチップモデルを示す図である。 図5は、本発明におけるシステム・イン・パッケージ技術に基づくプロセス設計方法の一実施例の基板解析図である。 図6は、本発明におけるシステム・イン・パッケージ技術に基づくプロセス設計方法の一実施例のボンディングワイヤモデルを示す図である。 図7は、本発明におけるシステム・イン・パッケージ技術に基づくプロセス設計方法の一実施例のチップの組立モデルを示す図である。 図8は、本発明におけるシステム・イン・パッケージ技術に基づくプロセス設計方法の一実施例の一体的パッケージモデルを示す図である。
以下に、特定の具体的実施例によって本発明の実施形態を説明する。なお、当業者であれば、本明細書で開示する内容から本発明のその他の利点及び効果を容易に理解可能である。更に、本発明は、その他の異なる具体的実施形態によっても実施又は応用可能である。また、本明細書における各詳細事項は、異なる視点及び応用に基づき、本発明の精神を逸脱しないことを前提に各種の補足又は変形を加えてもよい。説明すべき点として、矛盾が生じなければ、以下の実施例及び実施例の特徴を互いに組み合わせることが可能である。
説明すべき点として、以下の実施例で提供する図面は概略的に本発明の基本思想を説明するためのものにすぎない。図面には本発明に関連するアセンブリのみを示しているが、実際に実施する際のアセンブリの数、形状及びサイズに基づき記載しているわけではない。実際に実施する際の各アセンブリの形態、数及び比率は任意に変更してもよく、アセンブリのレイアウトや形態がより複雑になるものであってもよい。
本発明で記載するシステム・イン・パッケージ技術に基づくプロセス設計方法、システム、媒体及びデバイスの技術原理は以下の通りである。即ち、レイアウトの設計データと、当該レイアウトに関連する3次元モデルデータを取得する。前記設計データにおける設計要素属性情報に基づき、前記設計データと前記3次元モデルデータの関連付け及びマッチングを行うとともに、前記設計データと3次元モデルデータを一体的パッケージモデルとして組み立てる。前記一体的パッケージモデルに対して組立プロセス解析を行うことにより、設計の修正及び基準とするための非合理的設計部位を見出すこと、あるいは、前記一体的パッケージモデルから生産・製造するためのパッケージプロセス製造プログラムを直接エクスポートすることを行う。
本実施例は、システム・イン・パッケージ技術に基づくプロセス設計方法を提供する。前記システム・イン・パッケージ技術に基づくプロセス設計方法は、以下を含んでいる。
レイアウトの設計データと、当該レイアウトに関連する3次元モデルデータを取得する。
前記設計データにおける設計要素属性情報に基づき、前記設計データと前記3次元モデルデータの関連付け及びマッチングを行うとともに、前記設計データと3次元モデルデータを一体的パッケージモデルとして組み立てる。
前記一体的パッケージモデルに対して組立プロセス解析を行うことにより、設計の修正及び基準とするための非合理的設計部位を見出すこと、あるいは、前記一体的パッケージモデルから生産・製造するためのパッケージプロセス製造プログラムを直接エクスポートすることを行う。前記組立プロセス解析では、前記一体的パッケージモデルの設計仕様及びシステム性能を検査する。
次に、図1Aと図1Bを組み合わせて、本実施例で提供するシステム・イン・パッケージ技術に基づくプロセス設計方法について詳細に述べる。
図1Aは、本発明におけるシステム・イン・パッケージ技術に基づくプロセス設計方法の一実施例の原理を示すフローチャートである。図1Aに示すように、前記システム・イン・パッケージ技術に基づくプロセス設計方法は、具体的に以下のステップS11、S12、S13を含んでいる。
ステップS11において、レイアウトの設計データと、当該レイアウトに関連する3次元モデルデータを取得する。
本実施例において、前記システム・イン・パッケージ技術とは、半導体デバイスのSIPパッケージ形式のことである。SIPパッケージは、構成としては、複数種類の機能チップ(プロセッサ、メモリ等を含む)を1つのパッケージ内に集積することによりほぼ完全な機能を実現する。また、プロセスとしては、レイアウト設計に基づいて、一定の機能を有するベアチップ(DIE。チップレット、又は、ダイ、ダイチップとも称する)と部材を対応するフレーム内に載置及び密封することにより、完成された一体部品(即ち、一体的パッケージモデル)を形成する。前記一体的パッケージモデルでは、電気的接続のためのボンディングワイヤが必要となる。前記ベアチップモデルについては、本発明におけるシステム・イン・パッケージ技術に基づくプロセス設計方法の一実施例のベアチップモデルを示す図4を参照する。
具体的には、レイアウト設計ソフト内の設計データをメモリに読み取る。また、材料情報が不足している場合には、部品表をインポートして、設計データ内のベアチップ及び部材の位置番号を実物の材料コードと1対1で対応付ける必要がある。前記設計データには、Cadence SIP設計データ「.sip」ファイルなどのBOMデータが含まれている。前記レイアウト設計ソフトには、Cadence SIP設計ソフトが含まれている。レイアウト設計過程で使用する部材やベアチップ、フレーム等の設計要素には、いずれも対応するBOMデータ及びその他の設計データが存在する。
実際の適用においては、ベアチップの3次元モデルデータとパッドの位置関係を蓄積するベアチップライブラリからベアチップの3次元モデルデータを取得する。前記ベアチップの3次元モデルデータは、ベアチップのベンダー材料コードに基づいて名称が付されている。また、チップフレームの3次元モデルデータを蓄積するフレームライブラリからフレームの3次元モデルデータを取得する。前記フレームの3次元モデルデータは、パッケージのタイプ及びサイズに基づいて名称が付されている。また、部材の3次元モデルデータと実際の動作パラメータデータ(質量、材料、消費電力等)を蓄積する部材ライブラリから部材の3次元モデルデータを取得する。前記部材の3次元モデルデータは、ベンダー材料コードに基づいて名称が付されている。
ステップS12において、前記設計データにおける設計要素属性情報に基づき、前記設計データと前記3次元モデルデータの関連付け及びマッチングを行うとともに、前記設計データと3次元モデルデータを一体的パッケージモデルとして組み立てる。実際の適用においては、設計データにおける部材のベンダー材料コード、ベアチップのベンダー材料コード、パッケージサイズ情報、及びベアチップ・フレームライブラリ、部材の3次元実体モデルライブラリに基づきマッチングを行うことにより、設計データの3次元座標情報と前記3次元モデルデータを組み合わせて一体的パッケージモデルを生成する。
本実施例において、前記S12は以下のステップS121、S122、S123を含んでいる。
ステップS121において、前記設計データから設計要素属性情報を抽出する。本実施例において、前記設計要素属性情報は、部材のベンダー材料コード、ベアチップのベンダー材料コード、及びフレームのパッケージサイズ情報及び/又は要素の名称を含んでいる。また、前記設計データには、前記部材、ベアチップ及び/又はフレームのベンダー材料コード、パッケージサイズ情報、ボンディングワイヤ情報及び/又は3次元座標情報が含まれている。
実際の適用においては、例えば、基板に対し、部材A、ベアチップB、電気抵抗C及びコンデンサDを、これらが実現する機能及び配線ルールに基づいて基板の特定位置に設置するとともに、配線設計を行う。更に、全体をパッケージ化するために、前記基板をフレームE内に設置する必要がある。したがって、仮に部材Aの材料コードがFU6008の場合には、当該設計データからFU6008を抽出する必要がある。また、ベアチップBの材料コードがQFP579Zの場合には、当該設計データからQFP579Zを抽出する必要がある。また、電気抵抗Cの材料コードがRX080522Kの場合には、当該設計データからRX080522Kを抽出する必要がある。また、コンデンサDの材料コードがCX060247Uの場合には、当該設計データからCX060247Uを抽出する必要がある。このほか、前記フレームEのパッケージサイズ情報を抽出する必要がある。前記フレームEのパッケージサイズ情報には、外縁の長さ・幅・高さ、内縁の長さ・幅・高さ、面取りサイズ及び厚み等の情報が含まれている。
ステップS122において、実体モデルライブラリから、材料コードが前記設計データと同一の部材及びベアチップの3次元モデルを検索し、検索した3次元モデルに基づいて関連する3次元データ及び動作パラメータを抽出する。
具体的には、前記実体モデルライブラリには、基板設計に用いられる関連部品(部材A、ベアチップB、電気抵抗C、コンデンサD及びフレームEを含む)の3次元モデルが予め蓄積されている。また、前記3次元モデルには、部品の3次元サイズ情報及び動作パラメータ等の部品性能面の情報が含まれている。前記動作パラメータには、質量、材料及び/又は比熱容量が含まれる。
ステップS123において、部材及びベアチップの材料コード情報に基づき、前記設計データにおける部材のベンダー材料コード、ベアチップのベンダー材料コード、及びフレームのパッケージサイズ情報を、前記実体モデルライブラリ内の同一材料コードの部材、ベアチップの3次元データ及び動作パラメータとマッチングして、同一型番の一体データとする。
実際の適用においては、前記設計データから、材料コードFU6008、QFP579Z、RX080522K、CX060247U及びフレームEについて基板上の2次元座標情報を検索することにより、部材A、ベアチップB、電気抵抗C、コンデンサD及びフレームEの基板上の座標情報を抽出する。また、前記実体モデルライブラリから、同様に、材料コードFU6008、QFP579Z、RX080522K、CX060247U及びフレームEの部品を検索することにより、部材A、ベアチップB、電気抵抗C、コンデンサD及びフレームEの完全な3次元モデルデータを抽出する。なお、抽出した3次元モデルデータには、部品の3次元サイズ情報及び動作パラメータ等の部品性能面の情報が含まれている。同一の材料コードFU6008、QFP579Z、RX080522K、CX060247U及びフレームEの基板上の2次元座標情報を利用して、基板と前記実体モデルライブラリとの関係を構築する。例えば、前記実体モデルライブラリから基板設計で使用する部品の3次元モデルを抽出し、基板に設計する部品の存在位置に基づいて、同一材料コードの部品の3次元モデルを基板の然るべき位置にインポートする。なお、フレームEの3次元モデルについても基板にインポートし、パッケージ及び組み合わせを行うことにより、各部品の一体データを生成する。前記一体データには、基板に使用される部品のパラメータ情報、基板の位置情報、及び前記実体モデルライブラリから3次元モデルをインポートする際に伴われた3次元データ情報及び動作パラメータ情報が含まれている。
ステップS13において、前記一体的パッケージモデルに対して組立プロセス解析を行うことにより、設計の修正及び基準とするための非合理的設計部位を見出すこと、あるいは、前記一体的パッケージモデルから生産・製造するためのパッケージプロセス製造プログラムを直接エクスポートすることを行う。
本実施例では、前記一体的パッケージモデルに対して組立プロセス解析を行った後、非合理的設計部位を設計者にフィードバックして修正し、修正完了後に前記一体的パッケージモデルを再生成する。設計に非合理的部位が存在していた場合には、再生成した一体的パッケージモデルをパッケージプロセス製造プログラムのエクスポートに用いる。一方、組立プロセス解析を行わなかった場合、あるいは、解析後に非合理的部位が存在しなかった場合には、前記一体的パッケージモデルをパッケージプロセス製造プログラムとして直接エクスポートする。説明すべき点であるが、前記一体的パッケージモデルは3次元立体モデルだけでなく、関連する全ての部品の動作性能パラメータ(例えば、S123で記載した同一型番の部品の一体データ)も保持している。
図1Bは、本発明におけるシステム・イン・パッケージ技術に基づくプロセス設計方法の一実施例のフローの概略図である。
本実施例において、前記ステップS13は以下を含んでいる。
前記一体的パッケージモデルにおける基板情報を検査する。基本的な設計過程には、部材の配列、配線、パッド、ビアホールの設計等のプロセスが関連している。設計の合理性及び基板の性能を保証するために、部品同士の安全距離、配線距離、パッド及びビアホールと部材間の配線距離は、予め定められた距離範囲を満たす必要がある。そこで、上記の前記一体的パッケージモデルにおける基板情報を検査する際には、基板の部品、パッド、配線、ビアホール及び/又は銅メッキを検査する。
本発明におけるシステム・イン・パッケージ技術に基づくプロセス設計方法の一実施例の基板解析図を示す図5を参照する。図5の基板検査には、パッドと部材の距離aが安全設計仕様を満たしているか否かが含まれている。前記安全設計仕様とは安全閾値であり、距離aが当該安全閾値よりも小さい場合には、この設計の問題点についてエラーを報告する。同様に、基板上の各部材、ビアホール、パッド、配線の座標情報から、ビアホール1とパッドとの距離b、配線とビアホール2との距離、基板のビアホール間の距離、及びビアホールの孔径サイズが加工要求を満たしているか否かを算出可能である。
ボンディングワイヤのプロセス製造ルールに基づき、前記一体的パッケージモデルに対してボンディングワイヤ解析を行う。具体的には、前記システム・イン・パッケージ技術はボンディングワイヤパッケージ技術であって、ボンディング技術には、熱間圧接、超音波熱圧着が含まれている。本発明におけるシステム・イン・パッケージ技術に基づくプロセス設計方法の一実施例のボンディングワイヤモデルを示す図6を参照する。前記ボンディングワイヤは、実装する部材のリードとベアチップのリードを電気的に接続するために用いられる。前記ボンディングワイヤ解析では、ボンディングワイヤの長さが適切か否か、ボンディングワイヤの直径が適切か否か、ボンディングワイヤの配線方向が合理的か否か、ボンディングワイヤの固定が堅固か否か、ボンディングワイヤ同士の距離が安全要求を満たしているか否か等のボンディングワイヤのプロセス製造ルールに基づいて、ルールチェックを行う。
前記一体的パッケージモデルにおける各設計要素に対して組立解析を行う。実際の適用において、前記一体的パッケージモデルは、各部材、基板、ベアチップ及びフレームから構成される統一体である。本発明におけるシステム・イン・パッケージ技術に基づくプロセス設計方法の一実施例のチップの組立モデルを示す図7と、本発明におけるシステム・イン・パッケージ技術に基づくプロセス設計方法の一実施例の一体的パッケージモデルを示す図8を参照する。システム全体において、例えば、部材1と部材2の間、部材とベアチップの間、部材とフレームの間、ベアチップとフレームの間のピッチが合理的か否か(部材2が高周波部品の場合には、設計ピッチが電磁干渉を効果的に回避可能か否か、部材2が発熱部品の場合には、システムの全体設計及び組立により効果的な放熱が可能か否か、部材2が感知部品の場合には、当該部品の存在位置がその他の部品の干渉から有効に離間し得るか否か、図8における最も外側のフレームをパッケージ化した後の構造が十分に空間を利用しているか否か、見栄えを維持した上で配列ルールを満たしており、各部品の位置や辺及び角の干渉が存在するか否か)といった問題が存在する。上記の問題点に関して、前記一体的パッケージモデルにおける各設計要素に対して組立解析を行うとは、前記一体的パッケージモデルに対してシミュレーションを行うことを意味する。前記シミュレーションの方法には有限要素解析が含まれ、前記有限要素解析によって、電磁、熱、構造等のシミュレーションテストを行う。前記有限要素解析の実現方式には、UG、ANSYS及び/又はFlothermが含まれている。
ベアチップ/表面実装の製造プロセスルールに基づき、前記一体的パッケージモデルに対してプロセス解析を行う。ボンディングワイヤパッケージプロセスでは、各作業工程で設計上の問題が発生し得る。例えば、ウェハの薄化時の厚みが適切か否か、ウェハの切断によりエッジに破損が生じるか否か、チップの接着時に軟質はんだが完全に固定されるか否か、その他の位置への飛散が生じるか否か、ポリマー接着剤の使用量と位置が適切か否か、ベアチップに変位が生じるか否か、部材にはんだ付け不良が存在するか否か、部材が型番に基づいて正確に載置されるか否か、及び、部材に破損が存在するか否か、といった問題が発生し得る。前記プロセス解析では、結果を逐一検査してリポートを出力することにより、設計者にフィードバックする。
予め定められたボンダールールに基づいて、前記設計データにおけるボンディングワイヤ情報からボンダープログラムを生成する。前記予め定められたボンダールールは、基板設計のボンディングワイヤ位置及び前記一体的パッケージモデルにおけるボンディングワイヤの配線方向、始点及び終点に基づいて各ボンディングワイヤの実行命令を生成する。前記実行命令は、設計の座標及び始点・終点に基づいて、各ボンディングワイヤを部材間に固定可能とする。全てのボンディングワイヤの実行命令が組み合わされてボンダープログラムが生成される。これは、生産・製造工程でボンダーが実行するプログラムである。
あるいは、前記設計データにおけるベアチップ及び表面実装対象の部材情報に基づき、予め定められたチップマウンタールールに従ってチップマウンタープログラムを生成する。前記予め定められたチップマウンタールールは、設計ファイルのBOMリスト、座標ファイル、及びガーバー(Gerber)基板画像に基づいて、各部品の型番と位置を対応させる実行命令を生成する。当該実行命令に従うことにより、各部品を基板設計の座標位置に溶接及び固定可能となる。全ての部品の実行命令が組み合わされて前記チップマウンタープログラムが生成される。これは、生産・製造工程でチップマウンターが実行するプログラムである。
本実施例は、コンピュータプログラムが記憶されたコンピュータ記憶媒体を提供する。当該コンピュータプログラムがプロセッサにより実行される際に、上記のシステム・イン・パッケージ技術に基づくプロセス設計方法が実現される。
当業者であれば理解可能なように、上記各方法の実施例における全部又は一部のステップは、コンピュータプログラムに関連するハードウェアによって実現可能である。また、上記のコンピュータプログラムは、コンピュータ可読記憶媒体に記憶可能である。当該プログラムを実行する際には、上記各方法の実施例を含むステップが実行される。また、上記のコンピュータ記憶媒体には、ROM、RAM、磁気ディスク又は光ディスク等のプログラムコードを記憶可能な各種のコンピュータ記憶媒体が含まれている。
本実施例で記載するシステム・イン・パッケージ技術に基づくプロセス設計方法によれば、多くの繰り返し作業を排除可能であり、手動を自動化に置き換えることにより、従来の方法と比べて60%~80%の時間が節約される。さらに、操作の難易度が下がり、設計からシミュレーション、生産・製造までの工程が簡略化されるため、電子製品の競争力が大幅に向上する。
本実施例は、システム・イン・パッケージ技術に基づくプロセス設計システムを提供する。前記システム・イン・パッケージ技術に基づくプロセス設計システムは、レイアウトの設計データと当該レイアウトに関連する3次元モデルデータを取得する取得モジュールと、前記設計データにおける設計要素属性情報に基づき、前記設計データと前記3次元モデルデータの関連付け及びマッチングを行うとともに、前記設計データと3次元モデルデータを一体的パッケージモデルとして組み立てるモデル生成モジュールと、前記一体的パッケージモデルに対して組立プロセス解析を行うことにより、設計の修正及び基準とするための非合理的設計部位を見出すこと、あるいは、前記一体的パッケージモデルから生産・製造するためのパッケージプロセス製造プログラムを直接エクスポートすることを行う生産解析モジュールとを含んでいる。
次に、図面を組み合わせて、本実施例で提供するシステム・イン・パッケージ技術に基づくプロセス設計システムについて詳細に述べる。説明すべき点として、下記の設計システムにおける各モジュールの区分は論理機能の区分にすぎず、実際に実現する際には、全部又は一部を1つの物理的実体に集積してもよく、あるいは、物理的に切り離してもよいと解釈すべきである。又は、これらのモジュールは、全てを処理素子によりソフトウェアを呼び出す形式で実現してもよく、あるいは、全てをハードウェア形式で実現してもよい。また、一部のモジュールを処理素子によりソフトウェアを呼び出す形式で実現し、一部のモジュールをハードウェア形式で実現してもよい。例えば、xモジュールは、単独で設けられた処理素子としてもよく、あるいは、下記の設計システムのいずれかのチップに集積して実現してもよい。また、xモジュールは、プログラムコード形式で下記の設計システムのメモリに記憶され、下記の設計システムのいずれかの処理素子によって下記のxモジュールの機能を呼び出して実行してもよい。その他のモジュールの実現についてもこれと類似である。これらのモジュールは、全部又は一部を一体的に集積してもよく、あるいは、個別に実現してもよい。また、ここで記載する処理素子は、信号処理能力を有する集積回路とすることができる。実現過程において、上記方法の各ステップ又は下記の各モジュールは、プロセッサ部材におけるハードウェアの集積論理回路又はソフトウェア形式の命令により完成可能である。
下記のモジュールは、上記方法を実施する1又は複数の集積回路として配置可能である。例えば、1又は複数の特定用途向け集積回路(Application Specific Integrated Circuit,ASICと略称)、1又は複数のマイクロプロセッサ(Digital Singnal Processor,DSPと略称)、1又は複数のフィールドプログラマブルゲートアレイ(Field Programmable Gate Array,FPGAと略称)等として配置可能である。下記いずれかのモジュールが、処理素子によりプログラムコードを呼び出すことにより実現される場合には、当該処理素子は、中央処理装置(Central Processing Unit,CPUと略称)のような汎用プロセッサ、又は、プログラムコードを呼び出し可能なその他のプロセッサとすることができる。これらのモジュールは、一体的に集積することにより、システムオンチップ(System-on-a-chip,SOCと略称)の形式で実現可能である。
本発明におけるシステム・イン・パッケージ技術に基づくプロセス設計システムの一実施例の構造を示す原理図である図2を参照する。図2に示すように、上記のシステム・イン・パッケージ技術に基づくプロセス設計システム2は、取得モジュール21、モデル生成モジュール22及び生産解析モジュール23を含んでいる。
前記取得モジュール21によって、レイアウトの設計データと、当該レイアウトに関連する3次元モデルデータを取得する。
モデル生成モジュール22は、前記設計データにおける設計要素属性情報に基づき、前記設計データと前記3次元モデルデータの関連付け及びマッチングを行うとともに、前記設計データと3次元モデルデータを一体的パッケージモデルとして組み立てる。
本実施例において、前記モデル生成モジュール22は、前記設計データから設計要素属性情報を抽出する。前記設計要素属性情報は、部材のベンダー材料コード、ベアチップのベンダー材料コード、及び/又は部材のパッケージサイズ情報を含んでいる。また、前記設計データには、前記部材、ベアチップ及び/又はフレームのベンダー材料コード、パッケージサイズ情報、ボンディングワイヤ情報及び/又は3次元座標情報が含まれている。実体モデルライブラリから、材料コードが前記設計データと同一の部材及びベアチップの3次元モデルを検索し、検索した3次元モデルに基づいて関連する3次元データ及び動作パラメータを抽出する。前記動作パラメータには、質量、材料及び/又は比熱容量が含まれる。部材及びベアチップの材料コード情報に基づき、前記設計データにおける部材のベンダー材料コード、ベアチップのベンダー材料コード、及びフレームのパッケージサイズ情報を、前記実体モデルライブラリ内の同一材料コードの部材、ベアチップの3次元データ及び動作パラメータとマッチングして、同一型番の一体データとする。
前記生産解析モジュール23において、前記一体的パッケージモデルに対して組立プロセス解析を行うことにより、設計の修正及び基準とするための非合理的設計部位を見出すこと、あるいは、前記一体的パッケージモデルから生産・製造するためのパッケージプロセス製造プログラムを直接エクスポートすることを行う。
実際の適用において、前記生産解析モジュール23は、前記一体的パッケージモデルにおける基板情報を検査する。上記の前記一体的パッケージモデルにおける基板情報を検査する際には、基板の部品、パッド、配線、ビアホール及び/又は銅メッキを検査する。あるいは、ボンディングワイヤのプロセス製造ルールに基づき、前記一体的パッケージモデルに対してボンディングワイヤ解析を行う。あるいは、前記一体的パッケージモデルにおける各設計要素に対して組立解析を行う。上記の前記一体的パッケージモデルにおける各設計要素に対して組立解析を行うとは、前記一体的パッケージモデルに対してシミュレーションを行うことを意味する。あるいは、ベアチップ/表面実装の製造プロセスルールに基づき、前記一体的パッケージモデルに対してプロセス解析を行う。
本実施例において、前記生産解析モジュール23は、更に、予め定められたボンダールールに基づいて、前記設計データにおけるボンディングワイヤ情報からボンダープログラムを生成する。前記ボンダープログラムは、生産・製造工程においてボンダーが実行するプログラムである。あるいは、前記設計データにおけるベアチップ及び表面実装対象の部材情報に基づき、予め定められたチップマウンタールールに従ってチップマウンタープログラムを生成する。前記チップマウンタープログラムは、生産・製造工程においてチップマウンターが実行するプログラムである。
本実施例で記載するシステム・イン・パッケージ技術に基づくプロセス設計システムによれば、多くの繰り返し作業を排除可能であり、手動を自動化に置き換えることにより、従来の方法と比べて60%~80%の時間が節約される。さらに、操作の難易度が下がり、設計からシミュレーション、生産・製造までの工程が簡略化されるため、電子製品の競争力が大幅に向上する。
本実施例は、デバイスを提供する。当該デバイスは、プロセッサ及びメモリを含む。前記メモリはコンピュータプログラムを記憶するために用いられる。前記プロセッサは、前記デバイスに上記のシステム・イン・パッケージ技術に基づくプロセス設計方法を実行させるように、前記メモリに記憶されているコンピュータプログラムを実行するために用いられる。
本発明におけるシステム・イン・パッケージ技術に基づくプロセス設計方法の一実施例のデバイスの接続図である図3を参照する。前記デバイスは、プロセッサ31、メモリ32、送受信装置33、通信インターフェース34又は/及びシステムバス35を含んでいる。メモリ32及び通信インターフェース34は、システムバス35を介してプロセッサ31及び送受信装置33に接続されて、相互の通信を完了する。メモリ32は、コンピュータプログラムを記憶するために用いられ、通信インターフェース34はその他のデバイスとの通信に用いられる。プロセッサ31と送受信装置33は、コンピュータプログラムを動作させることにより、前記デバイスに上記のシステム・イン・パッケージ技術に基づくプロセス設計方法の各ステップを実行させる。
上記で言及したシステムバス35は、ペリフェラルコンポーネントインターコネクト(Peripheral Component Interconnect,PCIと略称)バス、又はイーアイサ(Extended Industry Standard Architecture,EISAと略称)バス等とすることができる。また、当該システムバス35は、アドレスバス、データバス、制御バス等に分けられる。通信インターフェースは、データベースアクセス装置とその他のデバイス(例えば、クライアント、リーダ/ライタライブラリ及び読取専用ライブラリ)との通信を実現するために用いられる。また、メモリは、ランダムアクセスメモリ(Random Access Memory,RAMと略称)を含むこともあれば、不揮発性メモリ(non-volatile memory)を含む場合もあり、例えば少なくとも1つの磁気ディスクメモリを含んでいる。
上記のプロセッサ31は、中央処理装置(Central Processing Unit,CPUと略称)、ネットワークプロセッサ(Network Processor,NPと略称)等を含む汎用プロセッサとしてもよく、デジタルシグナルプロセッサ(Digital Signal Processing,DSPと略称)、特定用途向け集積回路(Application Specific Integrated Circuit,ASICと略称)、フィールドプログラマブルゲートアレイ(Field Programmable Gate Array,FPGAと略称)、又は、その他のプログラマブルロジックデバイス、ディスクリートゲート、又はトランジスター論理デバイス、ディスクリートハードウェアセンブリとしてもよい。
本発明で記載する上記のシステム・イン・パッケージ技術に基づくプロセス設計方法の保護範囲は、本実施例で列挙したステップの実行順に限らず、本発明の原理に基づき実施される従来技術のステップの増減やステップの置き換えよって実現される方法は、いずれも本発明の保護範囲に含まれる。
本発明は、更に、システム・イン・パッケージ技術に基づくプロセス設計システムを提供する。上記のシステム・イン・パッケージ技術に基づくプロセス設計システムは、本発明で記載するシステム・イン・パッケージ技術に基づくプロセス設計方法を実現可能である。しかし、本発明で記載するシステム・イン・パッケージ技術に基づくプロセス設計方法の実現装置は、本実施例で列挙したシステム・イン・パッケージ技術に基づくプロセス設計システムの構造に限らず、本発明の原理に基づき実施される従来技術の構造の変更及び置き換えは、いずれも本発明の保護範囲に含まれる。
以上述べたように、本発明で記載するシステム・イン・パッケージ技術に基づくプロセス設計方法、システム、媒体及びデバイスは、従来のシミュレーション生産のフローと比較して多くの繰り返し作業を排除可能であり、手動を自動化に置き換えることにより、従来の方法と比べて60%~80%の時間が節約される。さらに、操作の難易度が下がり、設計からシミュレーション、生産・製造までの工程が簡略化される。また、設計データに対して、組立・製造及びプロセスのバーチャル解析を行い、生産・製造前に問題を明らかにすることにより、製造リスクを低下させる。これにより、生産コストが低下し、電子製品の競争力が大幅に向上する。本発明は、従来技術における様々な欠点を効果的に解消するものであり、高度な産業上の利用価値を有する。
上記の実施例は本発明の原理と効果を例示的に説明するものにすぎず、本発明を制限するものではない。本技術を熟知する者であれば、本発明の精神及び範疇を逸脱しないことを前提に、上記の実施例を補足又は変形することが可能である。従って、当業者が本発明で開示する精神及び技術思想を逸脱することなく完了するあらゆる等価の補足又は変形は、依然として本発明の特許請求の範囲に含まれる。
21 取得モジュール
22 モデル生成モジュール
23 生産解析モジュール
31 プロセッサ
32 メモリ
33 送受信装置
34 通信インターフェース
35 システムバス
S11~S13 システム・イン・パッケージ技術に基づくプロセス設計方法のステップ

Claims (9)

  1. システム・イン・パッケージ技術に基づくプロセス設計方法であって、
    レイアウトの設計データと当該レイアウトに関連する3次元モデルデータを取得するステップと、
    BOMデータを含む前記設計データにおける設計要素属性情報に基づき、前記設計データと前記3次元モデルデータの関連付け及びマッチングを行うとともに、前記設計データと3次元モデルデータを一体的パッケージモデルとして組み立てるステップと、
    前記一体的パッケージモデルに対して組立プロセス解析を行うことにより、設計の修正及び基準とするための非合理的設計部位を見出し、前記非合理的設計部位が存在していた場合には、前記非合理的設計部位をフィードバックして修正して前記一体的パッケージモデルを再生成し、前記再生成した一体的パッケージモデルから生産・製造するためのパッケージプロセス製造プログラムをエクスポートし、前記非合理的設計部位が存在しなかった場合には、前記一体的パッケージモデルから生産・製造するためのパッケージプロセス製造プログラムを直接エクスポートすることを行うステップとを含み、
    前記一体的パッケージモデルに対して組立プロセス解析を行うことにより、設計の修正及び基準とするための非合理的設計部位を見出すための前記ステップでは、
    前記一体的パッケージモデルにおける基板情報を検査すること、あるいは、
    ボンディングワイヤのプロセス製造ルールに基づき、前記一体的パッケージモデルに対してボンディングワイヤ解析を行うこと、あるいは、
    前記一体的パッケージモデルにおける各設計要素に対して組立解析を行うこと、あるいは、
    ベアチップ/表面実装の製造プロセスルールに基づき、前記一体的パッケージモデルに対してプロセス解析を行うことのいずれかを行い、
    前記組立プロセス解析では、前記一体的パッケージモデルの設計仕様及びシステム性能を検査することを特徴とするシステム・イン・パッケージ技術に基づくプロセス設計方法。
  2. 前記設計データにおける設計要素属性情報に基づき、前記設計データと前記3次元モデルデータの関連付け及びマッチングを行う前記ステップは、
    材、前記ベアチップ及び/又はフレームのベンダー材料コード、パッケージサイズ情報、ボンディングワイヤ情報及び/又は3次元座標情報が含まれる前記設計データから、前記部材のベンダー材料コード、前記ベアチップのベンダー材料コード、及び/又は前記部材のパッケージサイズ情報が含まれる設計要素属性情報を抽出することと、
    実体モデルライブラリから、材料コードが前記設計データと同一の部材及びベアチップの3次元モデルを検索し、検索した3次元モデルに基づいて関連する3次元データ及び動作パラメータを抽出することと、
    前記部材及び前記ベアチップの材料コード情報に基づき、前記設計データにおける部材の前記ベンダー材料コード、前記ベアチップのベンダー材料コード、及び前記フレームのパッケージサイズ情報を、前記実体モデルライブラリ内の同一材料コードの部材、ベアチップの3次元データ及び動作パラメータとマッチングして、同一型番の一体データとすることとを含むことを特徴とする請求項1に記載のシステム・イン・パッケージ技術に基づくプロセス設計方法。
  3. 前記動作パラメータには、質量、材料及び/又は比熱容量が含まれていることを特徴とする請求項2に記載のシステム・イン・パッケージ技術に基づくプロセス設計方法。
  4. 前記一体的パッケージモデルにおける基板情報を検査する際には、基板の部品、パッド、配線、ビアホール及び/又は銅メッキを検査することを特徴とする請求項に記載のシステム・イン・パッケージ技術に基づくプロセス設計方法。
  5. 前記一体的パッケージモデルにおける各設計要素に対して組立解析を行うとは、前記一体的パッケージモデルに対してシミュレーションを行うことを意味することを特徴とする請求項に記載のシステム・イン・パッケージ技術に基づくプロセス設計方法。
  6. 前記一体的パッケージモデルから生産・製造するためのパッケージプロセス製造プログラムを直接エクスポートする前記ステップでは、
    予め定められたボンダールールに基づいて、前記設計データにおけるボンディングワイヤ情報から、生産・製造工程においてボンダーが実行するボンダープログラムを生成すること、あるいは、
    前記設計データにおけるベアチップ及び表面実装対象の部材情報に基づき、予め定められたチップマウンタールールに従って、生産・製造工程においてチップマウンターが実行するチップマウンタープログラムを生成することを特徴とする請求項1に記載のシステム・イン・パッケージ技術に基づくプロセス設計方法。
  7. システム・イン・パッケージ技術に基づくプロセス設計システムであって、
    レイアウトの設計データと、当該レイアウトに関連する3次元モデルデータを取得する取得モジュールと、
    BOMデータを含む前記設計データにおける設計要素属性情報に基づき、前記設計データと前記3次元モデルデータの関連付け及びマッチングを行うとともに、前記設計データと3次元モデルデータを一体的パッケージモデルとして組み立てるモデル生成モジュールと、
    前記一体的パッケージモデルに対して組立プロセス解析を行うことにより、設計の修正及び基準とするための非合理的設計部位を見出し、前記非合理的設計部位が存在していた場合には、前記非合理的設計部位をフィードバックして修正して前記一体的パッケージモデルを再生成し、前記再生成した一体的パッケージモデルから生産・製造するためのパッケージプロセス製造プログラムをエクスポートし、前記非合理的設計部位が存在しなかった場合には、前記一体的パッケージモデルから生産・製造するためのパッケージプロセス製造プログラムを直接エクスポートすることを行う生産解析モジュールと、を含み、
    前記一体的パッケージモデルに対して組立プロセス解析を行うことにより、設計の修正及び基準とするための非合理的設計部位を見出すことは、
    前記一体的パッケージモデルにおける基板情報を検査すること、あるいは、
    ボンディングワイヤのプロセス製造ルールに基づき、前記一体的パッケージモデルに対してボンディングワイヤ解析を行うこと、あるいは、
    前記一体的パッケージモデルにおける各設計要素に対して組立解析を行うこと、あるいは、
    ベアチップ/表面実装の製造プロセスルールに基づき、前記一体的パッケージモデルに対してプロセス解析を行うことのいずれかを行うことを特徴とするシステム・イン・パッケージ技術に基づくプロセス設計システム。
  8. コンピュータプログラムが記憶されたコンピュータ可読記憶媒体であって、
    前記コンピュータプログラムは、プロセッサにより実行される際に、請求項1乃至請求項のいずれか1項に記載のシステム・イン・パッケージ技術に基づくプロセス設計方法を実現することを特徴とするコンピュータ可読記憶媒体。
  9. プロセッサ及びメモリを含み、
    前記メモリはコンピュータプログラムを記憶するために用いられ、前記プロセッサは、デバイスに請求項1乃至請求項のいずれか1項に記載のシステム・イン・パッケージ技術に基づくプロセス設計方法を実行させるように、前記メモリに記憶されているコンピュータプログラムを実行するために用いられることを特徴とするデバイス。
JP2021568681A 2019-05-20 2020-04-22 システム・イン・パッケージ技術に基づくプロセス設計方法、システム、媒体及びデバイス Active JP7284962B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
CN201910419777.4A CN110197019B (zh) 2019-05-20 2019-05-20 基于系统封装技术的工艺设计方法、系统、介质及设备
CN201910419777.4 2019-05-20
PCT/CN2020/085999 WO2020233319A1 (zh) 2019-05-20 2020-04-22 基于系统封装技术的工艺设计方法、系统、介质及设备

Publications (2)

Publication Number Publication Date
JP2022533379A JP2022533379A (ja) 2022-07-22
JP7284962B2 true JP7284962B2 (ja) 2023-06-01

Family

ID=67752933

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021568681A Active JP7284962B2 (ja) 2019-05-20 2020-04-22 システム・イン・パッケージ技術に基づくプロセス設計方法、システム、媒体及びデバイス

Country Status (6)

Country Link
US (1) US20220261525A1 (ja)
EP (1) EP3951632A4 (ja)
JP (1) JP7284962B2 (ja)
KR (1) KR20220003623A (ja)
CN (1) CN110197019B (ja)
WO (1) WO2020233319A1 (ja)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110197019B (zh) * 2019-05-20 2021-09-10 上海望友信息科技有限公司 基于系统封装技术的工艺设计方法、系统、介质及设备
CN111651955B (zh) * 2020-04-17 2023-08-22 上海望友信息科技有限公司 封装键合程序自动生成方法、装置、存储介质及电子设备
CN112307707B (zh) * 2020-09-22 2022-09-27 中国电子科技集团公司第二十九研究所 一种用于多芯片组件的可制造性审查方法及系统
CN113257702B (zh) * 2021-05-12 2023-06-02 西安微电子技术研究所 提高基于PoP工艺的标准化模块应用可靠性的方法
CN113627121B (zh) * 2021-06-28 2023-01-20 展讯通信(上海)有限公司 芯片设计数据的处理方法、电子设备和计算机可读介质
CN114266222A (zh) * 2021-11-30 2022-04-01 上海望友信息科技有限公司 基于键合线模型生成参数化键合数据的方法、介质及设备
CN115600539B (zh) * 2022-11-10 2024-01-26 上海威固信息技术股份有限公司 一种芯片封装模块化设计方法及系统
CN116629760B (zh) * 2023-05-26 2023-10-20 湖北诚佰网络科技有限公司 一种智能bom管理系统
CN117473939B (zh) * 2023-12-28 2024-03-08 苏州元脑智能科技有限公司 Pcb模块化布局器件匹配方法、装置、电子设备及介质
CN117473947A (zh) * 2023-12-28 2024-01-30 广东美创希科技有限公司 图纸封装检测方法、装置、电子设备及介质

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005339464A (ja) 2004-05-31 2005-12-08 Fukuoka Pref Gov Sangyo Kagaku Gijutsu Shinko Zaidan 三次元実装回路の設計システム及び設計方法
JP2007286891A (ja) 2006-04-17 2007-11-01 Nec Electronics Corp Lsiパッケージ基板設計支援装置
US20080250182A1 (en) 2007-04-09 2008-10-09 Taiwan Semiconductor Manufacturing Co., Ltd. Sip (system in package) design systems and methods
CN102073775A (zh) 2011-01-18 2011-05-25 西安电子科技大学 审查印制电路板电装数据的方法
CN106777756A (zh) 2016-12-30 2017-05-31 上海望友信息科技有限公司 基于3d aoi及axi的pcba检测方法及系统
CN109241681A (zh) 2018-10-30 2019-01-18 上海望友信息科技有限公司 回流焊的仿真优化方法、系统、计算机存储介质及设备

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6025256A (ja) * 1983-07-21 1985-02-08 Sharp Corp 集積回路の適合パツケ−ジの検出方法
US7398129B2 (en) * 2004-10-07 2008-07-08 Amada Company, Limited Representation of sheet metal part models
US20090030660A1 (en) * 2007-07-24 2009-01-29 Lsi Logic Corporation Method and apparatus for generating fully detailed three-dimensional electronic package and pcb board models
CN104133955B (zh) * 2014-07-25 2017-12-05 中山大学 一种提取电路寄生参数的方法
CN104239653A (zh) * 2014-10-14 2014-12-24 内蒙古第一机械集团有限公司 一种铸造三维工艺设计方法
US9672308B1 (en) * 2015-03-11 2017-06-06 Cadence Design Systems, Inc. Methods, systems, and computer program product for implementing three-dimensional operations for electronic designs
US20170221871A1 (en) * 2016-02-01 2017-08-03 Octavo Systems Llc Systems and methods for manufacturing electronic devices
CN109446600A (zh) * 2018-10-12 2019-03-08 上海望友信息科技有限公司 3d仿真数据的生成方法、系统、计算机存储介质及设备
CN110197019B (zh) * 2019-05-20 2021-09-10 上海望友信息科技有限公司 基于系统封装技术的工艺设计方法、系统、介质及设备
CN110222381B (zh) * 2019-05-21 2023-05-02 上海望友信息科技有限公司 用于pcb装配的动态安装指引文件生成方法、系统、介质及终端

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005339464A (ja) 2004-05-31 2005-12-08 Fukuoka Pref Gov Sangyo Kagaku Gijutsu Shinko Zaidan 三次元実装回路の設計システム及び設計方法
JP2007286891A (ja) 2006-04-17 2007-11-01 Nec Electronics Corp Lsiパッケージ基板設計支援装置
US20080250182A1 (en) 2007-04-09 2008-10-09 Taiwan Semiconductor Manufacturing Co., Ltd. Sip (system in package) design systems and methods
CN102073775A (zh) 2011-01-18 2011-05-25 西安电子科技大学 审查印制电路板电装数据的方法
CN106777756A (zh) 2016-12-30 2017-05-31 上海望友信息科技有限公司 基于3d aoi及axi的pcba检测方法及系统
CN109241681A (zh) 2018-10-30 2019-01-18 上海望友信息科技有限公司 回流焊的仿真优化方法、系统、计算机存储介质及设备

Also Published As

Publication number Publication date
EP3951632A4 (en) 2023-05-17
EP3951632A1 (en) 2022-02-09
WO2020233319A1 (zh) 2020-11-26
CN110197019B (zh) 2021-09-10
KR20220003623A (ko) 2022-01-10
EP3951632A9 (en) 2022-03-23
CN110197019A (zh) 2019-09-03
JP2022533379A (ja) 2022-07-22
US20220261525A1 (en) 2022-08-18

Similar Documents

Publication Publication Date Title
JP7284962B2 (ja) システム・イン・パッケージ技術に基づくプロセス設計方法、システム、媒体及びデバイス
JP6168536B2 (ja) Smt装置の高速プロセスシステム及び方法
CN109241681A (zh) 回流焊的仿真优化方法、系统、计算机存储介质及设备
CN110222381B (zh) 用于pcb装配的动态安装指引文件生成方法、系统、介质及终端
CN116484800A (zh) 一种芯片封装设计的方法
JP2009182330A (ja) 印刷回路基板、半導体パッケージ、カード及び電子システム
JP7097587B2 (ja) 部品記号の極性記号検出方法、システム、コンピュータで読取可能な記憶媒体及びデバイス
CN114282413A (zh) 一种印制电路板压合成型过程的仿真方法及系统
CN100511244C (zh) 零部件安装基板用分析方法
CN110414123B (zh) 一种基于坐标对图形数据进行元件封装的方法及设备
CN116663479A (zh) 一种PCB-Package协同设计方法
TWI411051B (zh) 封裝層疊方法與結構及其電路板系統
CN114330207A (zh) 芯片焊盘的信息提取方法、系统及电子设备
CN115879407A (zh) 物料清单生成方法、终端以及存储介质
CN110852035B (zh) 可自主学习的pcb设计平台
CN102542087A (zh) 封装接脚对应设计自动化系统及方法
CN103929876A (zh) 一种印制电路板组合焊盘
CN110245412B (zh) 一种pcb顶针模板自动设计方法及系统、存储介质及终端
US8370777B2 (en) Method of generating a leadframe IC package model, a leadframe modeler and an IC design system
CN114118003A (zh) 一种波峰焊零件上锡检测方法及装置
CN109548316B (zh) 一种smt贴片方法及系统
JP2022520591A (ja) 三次元部品ライブラリに基づいてマウントデータを生成する方法、システム、媒体及びデバイス
CN201673504U (zh) 键合线建模系统
CN111274697A (zh) 焊接拉力的仿真方法、仿真装置、电子设备及存储介质
CN218388099U (zh) Lga pcb板及模组、pcb主板

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211116

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20221129

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221209

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230308

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230508

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230511

R150 Certificate of patent or registration of utility model

Ref document number: 7284962

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150