JP7168355B2 - 半導体加工装置および半導体加工装置を較正する方法 - Google Patents

半導体加工装置および半導体加工装置を較正する方法 Download PDF

Info

Publication number
JP7168355B2
JP7168355B2 JP2018115780A JP2018115780A JP7168355B2 JP 7168355 B2 JP7168355 B2 JP 7168355B2 JP 2018115780 A JP2018115780 A JP 2018115780A JP 2018115780 A JP2018115780 A JP 2018115780A JP 7168355 B2 JP7168355 B2 JP 7168355B2
Authority
JP
Japan
Prior art keywords
reaction chamber
heating element
element array
chamber
ribs
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018115780A
Other languages
English (en)
Other versions
JP2019071404A (ja
Inventor
シヴァ・ラジャブル
ジョン・トール
リッチ・マッカートニー
Original Assignee
アーエスエム・イーぺー・ホールディング・ベスローテン・フェンノートシャップ
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アーエスエム・イーぺー・ホールディング・ベスローテン・フェンノートシャップ filed Critical アーエスエム・イーぺー・ホールディング・ベスローテン・フェンノートシャップ
Publication of JP2019071404A publication Critical patent/JP2019071404A/ja
Application granted granted Critical
Publication of JP7168355B2 publication Critical patent/JP7168355B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • C30B25/105Heating of the reaction chamber or the substrate by irradiation or electric discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本開示は、概して、半導体加工装置および半導体加工装置を較正する方法に関する。
高温の反応チャンバは、半導体基板上へ様々な物質層を堆積させるために使用されてもよい。例えば、シリコン基板などの半導体基板は、反応チャンバ内部の基板支持体上に置かれてもよい。基板および支持体の両方を、所望の設定点温度にまで加熱してもよい。例示の基板処理プロセスでは、反応ガスが加熱された基板の上を通り、基板上に反応物質の薄層の化学蒸着(CVD)を引き起こしてもよい。それに続く堆積、ドーピング、リソグラフィ、エッチングおよび他のプロセスを通して、これらの層が集積回路になる。
高品質の堆積層を保証するように、様々な処理パラメータを注意深く制御してもよい。そのような一つの処理パラメータの例が、基板温度均一性である。CVD中、例えば、堆積ガスは、基板上への堆積のため、所定の個別温度範囲内で反応してもよい。基板全体の温度均一性が変化すると、堆積速度が変化し、望ましくない層厚の不均一性がもたらされる場合がある。したがって、処理が始まる前に、基板を所望の温度および温度均一性にして、プロセスの間中、所望の温度および均一性を維持するように、基板温度均一性を正確に制御することが重要である。
ある適用においては、CVD用に構成された石英反応チャンバなどの、反応チャンバ内の圧力を、周囲圧力よりもさらに低い水準にまで低下させてもよい。そのように圧力を低下させる適用では、当該石英反応チャンバの湾曲表面が、圧力低下のプロセスに起因する、内向きの力に抵抗するのにより適している場合があるため、石英反応チャンバは、円筒形または球状のチャンバを備えてもよい。しかしながら、化学蒸着のために平坦な基板を位置付けるとき、堆積ガスが基板に対して平行に流れる場合には、基板表面上に均一な堆積を得るために、チャンバ壁が基板の平坦な表面に対して平行であることが望ましい場合がある。均一な堆積は、そのような基板から製作され、条件を満たした製品の高い生産量を得るのに、重大な意味を持つ場合がある。しかしながら、平坦なチャンバ壁を備える石英反応チャンバは、類似のサイズおよび厚さの外向きに出っ張ったチャンバ壁と比較すると、プロセスに圧力の低下が含まれるとき、内向きに崩れる場合がある。
平坦なチャンバ壁への内向きの力を処理するために、1990年5月1日発行の米国特許第4,920,918号、名称「PRESSURE RESISTANT THERMAL REACTOR SYSTEM FOR SEMICONDUCTOR PROCESSING」で確認できる通り、ガゼットまたはリブを、接合される壁に対して概して垂直に延在する壁の外側上に提供してもよく、当該特許は参照することによって本明細書に援用され、本明細書の一部となる。そのような石英反応チャンバ設計の一つの欠点は、石英は、放射灯加熱器によって提供される、放射灯エネルギーに対して実質的に透明であるにもかかわらず、リブセクションが、さらに厚い石英の領域を示し、平坦なチャンバ壁と比較してかなりの程度まで灯火エネルギーを屈折させ、それによって、反応チャンバ内の基板のあるセクションに到達する、灯火エネルギーを減衰させる場合があることである。このエネルギーの減衰によって、基板上により低温の領域(すなわち、影)が生み出される。基板表面上の温度のそのような不均一性により、特に温度に敏感な加工条件のために、堆積することができる膜の品質が低下する。
ウエハ堆積に利用される、名目上同一のCVDツールは、ツールごとに何らかの相違を備えてもよい。例えば、CVDプロセスで利用される反応チャンバは、堆積プロセス中のウエハ温度に影響を与える場合がある、特有の熱環境を各々有していてもよい。反応チャンバは、石英材料から製作してもよく、石英反応チャンバの製作および再加工で利用されるプロセスによって、例えば、限界寸法、材質、屈折特性など、石英反応チャンバの特徴に変動をもたらす場合がある。加えて、反応チャンバ内および反応チャンバ周囲の構成要素は、位置、およびさらなる相違を追加する最適機能が異なってもよい。反応チャンバの変動は、プロセスの結果が本質的に同じであると予想して、複数の反応チャンバが同じプロセスレシピを実施することがある大量製造には、望ましくない場合がある。例えば、CVDプロセスに対しては、結果として得られる堆積層は、均一の厚さ、キャリア移動度、屈折率、応力などを所有すると予想される。
CVDツールの変動が原因で生じる場合がある問題を克服するために、「ツール間整合」として知られるシステムおよびプロセスを採用してもよい。しかしながら、既存の「ツール間整合」システムおよびプロセスは、限定的で時間を消費し法外な費用がかかる場合があり、複数の化学蒸着システムを温度で較正する効果的な方法が提供されない場合がある。
本開示の少なくとも一つの実施形態に従い、半導体加工装置について開示する。半導体加工装置は、垂直側壁によって接続する上方チャンバ壁および下方チャンバ壁であって、チャンバ壁は、上流入口フランジおよび下流出口フランジによって接合され、チャンバの縦方向は、入口フランジから出口フランジへ延在する、上方チャンバ壁および下方チャンバ壁を備える、反応チャンバを備えてもよい。反応チャンバはさらに、少なくとも上方チャンバ壁の外表面上に提供される複数のリブであって、チャンバの縦方向に対し横方向に配向する、複数のリブを備えてもよい。半導体加工装置はまた、反応チャンバの上方に配置される、少なくとも一つの発熱体配列と、少なくとも一つの発熱体配列に連結し、少なくとも一つの発熱体配列の位置を、複数のリブの位置に対して、制御可能なように調整するよう構成される、少なくとも一つの可変位置決めデバイスとを備えてもよい。
本開示はまた、半導体加工装置を較正する方法を含んでもよく、方法は、垂直側壁によって接続する上方チャンバ壁および下方チャンバ壁であって、チャンバ壁は、上流入口フランジおよび下流出口フランジによって接合され、チャンバの縦方向は、入口フランジから出口フランジへ延在する、上方チャンバ壁および下方チャンバ壁を備える、反応チャンバを提供することを含んでもよい。反応チャンバを提供することはさらに、少なくとも上方チャンバ壁の外表面上に提供される複数のリブであって、チャンバの縦方向に対し横方向に配向する、複数のリブを提供することを含んでもよい。半導体加工装置を較正する方法はさらに、反応チャンバの上方に配置される、少なくとも一つの発熱体配列を提供することと、少なくとも一つの発熱体配列の位置を、複数のリブの位置に対して制御可能なように調整するよう、少なくとも一つの発熱体配列に連結する少なくとも一つの可変位置決めデバイスを調整することとを含んでもよい。
本明細書は、本発明の実施形態と見なされるものを特に指摘し、明確に主張して、特許請求の範囲で結論付けるが、本開示の実施形態の利点は、添付の図面と併せて読むと、本開示の実施形態のある例の記載からより容易に解明する場合がある。
図1は、本開示の半導体加工装置で利用してもよいような、チャンバの外側表面上に複数のリブを有する、半導体反応チャンバの斜視図である。 図2は、本開示の半導体加工装置の複数部分の概略断面図である。 図3Aは、反応チャンバの上方に渡って配置される発熱体配列を含む、上方発熱筐体の平面図であり、発熱体配列は、反応チャンバの縦方向と実質的に平行に配置される。 図3Bは、反応チャンバの上方に渡って配置される発熱体配列を含む、上方発熱筐体の平面図であり、発熱体配列は、反応チャンバの縦方向と実質的に垂直に配置される。 図4は、本開示の半導体加工装置の一部分の切り取り断面図である。 図5は、本開示の実施形態で開示されるような、例示の上方発熱筐体の概略図である。 図6は、本開示の上方発熱筐体の複数部分からなる内部構成の例示の分解概略図である。 図7は、開放位置にある上方発熱筐体を示す、本開示の半導体加工装置の斜視図である。 図面の要素は単純さと明快さを目的として表され、必ずしも縮尺通りに描かれていないことは理解されるであろう。例えば、図の要素のうちいくつかの寸法は、本開示で示す実施形態の理解の向上を助けるために他の要素よりも強調されてもよい。
ある実施形態および実施例について以下に論じるが、本発明が、具体的に開示される実施形態、ならびに/または本発明、および明らかな改変およびそれらの均等物の使用を超えて広がることは、当業者によって理解されるであろう。それゆえ、開示する本発明の範囲は、以下に記載し個別に開示する実施形態によって制限されるべきではないと意図されている。
本明細書で使用さする通り、「基板」という用語は、使用される場合がある、または上にデバイス、回路もしくは膜が形成される場合がある、いかなる下層材料または複数の下層材料を指してもよい。
本開示の実施形態は、半導体加工装置、および化学蒸着プロセス用に構成される、個別の半導体加工装置を含んでもよい。本開示の半導体加工装置は、減圧で動作できる石英反応チャンバを備えてもよく、そのため、反応チャンバを強化し、減圧で動作するときに望ましくない内破を防止する、複数のリブを備えてもよい。本開示の半導体加工装置は、石英反応チャンバを備える複数のリブの位置に対して、発熱体配列の位置を制御可能なように調整するよう構成される、一つ以上の可変位置決めデバイスを提供することによって、石英反応チャンバ内の温度均一性および熱環境の制御を高めることを可能にしてもよい。石英反応チャンバを構成する複数のリブに対して、発熱体配列を制御可能なように位置付け再配置する能力によって、石英反応チャンバ内に提供される、少なくとも一つの基板の上表面全体の温度均一性の差を改善するのを可能にするだけでなく、半導体加工装置の熱較正および複数の半導体加工装置の熱整合をも可能にする。
本開示の個別の実施形態では、化学蒸着プロセスに提供される石英反応チャンバは、修復プロセスを経た石英反応チャンバを備えてもよい。より詳細には、石英反応チャンバは、化学蒸着プロセス中に複数回利用されるとすぐに、石英反応チャンバを元の状態(または元の状態にできるだけ近く)に戻すよう復元する加工が必要となる場合がある。石英反応チャンバを復元する際に利用されるプロセスは、通例「修復プロセス」と称され、限定するものではないが、熱加工および化学的加工を含んでもよい。例えば、石英反応チャンバ修復プロセスは、石英反応チャンバの表面にある極小の亀裂を排除する「火造り」プロセスを含んでもよく、加えて、石英反応チャンバはまた、石英反応チャンバの中の応力を軽減するように、高温炉(例えば、1100℃)で焼鈍されてもよい。石英反応チャンバの修復によって、長期間利用および再利用することが可能になるものの、修復プロセスはまた、石英反応チャンバの限界寸法を変える場合があり、それによって、化学蒸着プロセス中に石英反応チャンバの上方に位置付けられる、発熱体配列の相対位置が変わる場合がある。本開示の半導体加工装置および方法によって、石英反応チャンバおよび関連するチャンバ要素の熱的特徴、すなわち、熱の均一性を劣化させずに、修復石英反応チャンバを使用することを可能にする。
図1は、減圧化学蒸着プロセスに利用してもよい、反応チャンバ100の非制限的な例示の実施形態を示す。反応チャンバ100を、本開示の(図2の)半導体加工装置200の一部として利用してもよい。図1および図2を参照すると、反応チャンバ100は、細長く、概して平坦な構成を備えてもよい。図1の非制限的な例示の反応チャンバ100は、外表面102Aおよび内表面102Bを伴う上方壁102と、外表面および内表面104Bを伴う下方壁104Aとを備えてもよい。上方チャンバ102および下方チャンバ壁104は、垂直な側壁106および108によって接続される。チャンバ壁102、104、106および108は、上流入口フランジ110および下流出口フランジ112によって接合されてもよい。上流および下流は、反応チャンバ100を通るプロセス気体流の方向に関し、本開示では前方および後方だけでなく、前部および後部と同義である。
あるいは、反応チャンバ100は、図1に示す平坦な構成以外の構成を有してもよい。例えば、反応チャンバ100は、上方壁102および/または下方壁104に先端を有する、テント形の断面形状を有してもよい。別の実施形態では、上方壁/下方壁102および104が丸く、反応チャンバ100は概して卵形の断面形状であってもよい。他の実施形態では、反応チャンバ100の上方壁/下方壁102および104が、上で論じた形状に加えて他の形状、およびそれらの組み合わせを有して形作られ得ることは理解されるであろう。
一部の実施形態では、反応チャンバの高さは、反応チャンバの幅未満である。この点において、反応チャンバ100の縦方向は、入口フランジ110から出口フランジ112へ、または切断線114~114に沿って延在する。横方向は、側壁106および108の間、または切断線114~114に対して横方向に延在する。高さの方向は、縦軸および横軸の両方に対して垂直である。本開示の一部の実施形態では、反応チャンバ100は、長さおよそ760mm、幅およそ490mmおよび高さおよそ160mmを有する。
一部の実施形態では、上方壁102および下方壁104の両方は、長方形を有する、薄く平坦な板のような要素を備える。複数のリブ116は、上方壁102の外表面102Aから延在し、複数のリブ118は、下方壁104の外表面104Aから延在する。リブ116および118のすべては、図1の切断線114~114に対して横方向に長く配向し、反応チャンバ100の縦方向に対して横方向に配向する。図2に示す通り、リブ116の各々は、リブ118のうちの対応する一つの上方に直接位置付けられ、そのリブと並んでいてもよい。それゆえ、リブ116および118は、上方リブおよび下方リブの対を備える。図1および図2に示す一部の実施形態では、12対のリブを利用し、約8対のリブが、(図2の)反応チャンバ100内に配置される、サセプタ202の上方および下方に提供される。しかしながら、他の実施形態では、反応チャンバ100の所望の構造的完全性に応じて、より多いまたはより少ない対のリブを使用してもよい。本開示の一部の実施形態では、上方リブ116および下方リブ118のうちの対応する対は、互いに並んでいなくてもよい。それゆえ、上方リブ116および下方リブ118は、反応チャンバ100の所望の水準の構造的完全性に応じて、隣接するリブ間の異なる配向、配置および/または間隔で、反応チャンバに有利に融合されてもよい。
図2は、図1の半導体反応チャンバ100を含む、半導体加工装置200の断面図を示し、反応チャンバ100の上方に配置される、少なくとも一つの発熱体配列204を示す。本開示の一部の実施形態では、少なくとも一つの発熱体配列204は、上方発熱配列を備えてもよく、上方発熱筐体206の中に収容されてもよい(破線100により図2に示す通り)。図2の半導体加工装置200はまた、反応チャンバ100の下方に配置され、下方発熱筐体210の中に収容される、発熱体の追加配列208を備えてもよい。反応チャンバ100の下方に配置される発熱体の追加配列208は、反応チャンバ100の上方に配置される、発熱体配列204と実質的に同じであってもよい。
一部の実施形態では、反応チャンバ100の上方に配置される少なくとも一つの発熱体配列204は、複数の放射発熱灯を備えてもよい。本開示の半導体装置の非制限的な例示の実施形態として、図3Aは、複数のリブ116、入口フランジ110および出口フランジ112を備える、反応チャンバ100の平面図を概略的に示す。図3Aはまた、反応チャンバ100の上方に配置され、発熱体配列204を備える、上方発熱筐体206も示す。一部の実施形態では、複数の放射発熱灯204は、平行関係で間隙を介し、また下層の反応チャンバ100を通る反応気体流路と実質的に平行でもある、複数の細長い管型灯を備える。言い換えると、本開示の一部の実施形態では、複数の放射発熱灯204は、反応チャンバの縦方向と実質的に平行に配置される、複数の細長い管型灯からなり、すなわち、複数の放射発熱灯204は、複数のリブ116の方向に対して実質的に垂直に配向する。発熱体の上方配列が、反応チャンバの縦方向と実質的に平行に配置される、複数の放射発熱灯を備える実施形態では、反応チャンバの下方に配置される発熱体の下方配列もまた、反応チャンバの縦方向に対して実質的に垂直に配置されもよい、複数の細長い管型灯を備えてもよく、すなわち、複数の上方放射発熱灯および複数の下方放射発熱体は、実質的には相互に垂直である。
本開示の半導体装置の非制限的な例示のさらなる実施形態として、図3Bは、複数のリブ116、入口フランジ110および出口フランジ112を備える、反応チャンバ100の平面図を概略的に示す。図3Bはまた、反応チャンバ100の上方に配置され、発熱体配列204を備える、上方発熱筐体206も示す。一部の実施形態では、複数の放射発熱灯204は、平行関係で間隙を介し、また下層の反応チャンバ100を通る反応気体流路と実質的に垂直でもある、複数の細長い管型灯を備える。言い換えると、本開示の一部の実施形態では、複数の放射発熱灯204は、反応チャンバの縦方向と実質的に垂直に配置される、細長い管型灯からなり、すなわち、複数の放射発熱灯204は、複数のリブ116の方向に対して実質的に平行に配向する。発熱体の上方配列が、反応チャンバの縦方向と実質的に垂直に配置される、複数の放射発熱灯を備える実施形態では、反応チャンバの下方に配置される発熱体の下方配列もまた、反応チャンバの縦方向に対して実質的に平行に配置されもよい、複数の細長い管型灯を備えてもよく、すなわち、複数の上方放射発熱灯および複数の下方放射発熱体は、実質的には相互に垂直である。
図3Aおよび図3Bの両方に示す通り、複数の放射発熱灯204は、実質的には相互に平行で隣接して配置される、細長い管型からなる。本開示の一部の実施形態では、配列内で個々の発熱灯の相対位置を変えることが所望されてもよい。例えば、本開示の一部の実施形態では、個々の放射発熱灯204`を再配置することによって、反応チャンバ100内により均一な温度分布を提供してもよく、そのため、本開示の装置により、個々の放射発熱灯間の距離を調整することが可能になる。非制限的な例示の実施形態として、図3Aは、実質的には相互に平行で、dと付けられた距離の分だけ、相互に間隙を介する放射発熱灯204`および204``を示す。そのため、本開示の一部の実施形態では、放射発熱灯204`および204``間の距離dは、反応チャンバ100内で必要とされる所望の熱分布に応じて、増加または減少してもよい。
複数の放射発熱灯204は、類似の構成からなってもよい。細長い管型発熱体の各々は、ヨウ素などのハロゲンガスを包含する透明な石英の外皮を有する、高強度のタングステン白熱電球を備えてもよい。灯火は、感知できるほどの吸収もなく、上方チャンバ壁102などの反応チャンバ壁を透過する、フルスペクトル光の形態で放射熱エネルギーを生成する。半導体加工機器の技術分野で既知である通り、様々な放射発熱灯の電力は、図2に示す通り、反応チャンバ100内に配置される、基板212に近接して配設される温度センサに反応して、単独でまたはグループ化した区域で制御されてもよい。
図2、図3Aおよび図3Bに示す通り、複数の灯火204および208は、詳細な支持構造を示すことなく図示されている。しかしながら、当業者は、上方チャンバ壁102などのチャンバ壁に対して灯火を取り付けるいくつかの手法を、容易に認識するであろう。本開示の一部の実施形態では、反応チャンバ100の上方に配置される少なくとも一つの発熱体配列204は、上方発熱筐体206内に配置されてもよい。図2に示す上方発熱筐体206は、単純化された形態からなり、上方発熱筐体206についてさらに本明細書で説明し論じる。しかしながら、一部の実施形態では、上方発熱筐体206は、反応チャンバ筐体に付着していてもよく、それによって、反応チャンバ100を支持してもよいことに留意すべきである。
一部の実施形態では、個々の放射発熱灯は、対向端部の各々に、一体化して形成され軸方向に延在する突起と、導電体の端部に提供されるコネクタを受け取る突起の各々から延在する、好適な接続ピン配設とを各々含む。
図2に戻って参照すると、反応チャンバ100の上方に配置される、少なくとも一つの発熱体配列204は、複数のリブの位置に対して、少なくとも一つの発熱体配列の位置を制御可能なように調整するよう構成される、少なくとも一つの可変位置決めデバイスに連結されてもよい。本開示の一部の実施形態では、少なくとも一つの発熱体配列は、例えば、可変位置決めデバイス214および216によって図2に示す通り、少なくとも二つの可変位置決めデバイスに連結される。一部の実施形態では、少なくとも一つの発熱体配列は、例えば、可変位置決めデバイス214、216および302によって図3Aおよび図3Bに示す通り、少なくとも三つの可変位置決めデバイスに連結される。
図2の非制限的な例示の半導体加工装置200によって示す通り、少なくとも一つの可変位置決めデバイス214は、少なくとも一つの発熱体配列204の位置を、反応チャンバ100の縦方向に対して実質的な平行方向に、制御可能なように調整するよう構成される。言い換えると、可変位置決めデバイスは、図2に示す通り、x軸に放射発熱灯配列を位置付けおよび再配置をする。少なくとも一つの発熱体配列204に連結される可変位置決めデバイス214は、上方発熱筐体206を介して連結されてもよく、可変位置決めデバイス214と個々の放射発熱灯204との間に配置される、さらなる連結材料を含んでもよいことは理解されるべきである。
さらなる例示の実施形態では、少なくとも一つの可変位置決めデバイス216は、反応チャンバ100の上方チャンバ壁102の位置に対して、少なくとも一つの発熱体配列204の高さの位置を制御可能なように調整するよう構成され、反応チャンバ100内に配置されるサセプタ202と特に関連する。言い換えると、可変位置決めデバイスは、図2に示す通り、z軸に放射発熱灯配列を位置付けおよび再配置をする。少なくとも一つの発熱体配列204に連結される可変位置決めデバイス216は、上方発熱筐体206を介して連結されてもよく、可変位置決めデバイス216と個々の放射発熱灯204との間に配置される、さらなる連結材料を含んでもよいことは理解されるべきである。
またさらに例示の実施形態では、(図3Aまたは3Bの)少なくとも一つの可変位置決めデバイス302は、少なくとも一つの発熱体配列204の位置を、反応チャンバ100の縦方向に対して実質的な垂直方向に、制御可能なように調整するよう構成される。言い換えると、可変位置決めデバイス302は、図3Aに示す通り、y軸に放射発熱灯配列204を位置付けおよび再配置をする。さらにまた、少なくとも一つの発熱体配列204に連結される可変位置決めデバイス302は、上方発熱筐体206を介して連結されてもよく、可変位置決めデバイス302と個々の放射発熱灯204との間に配置される、さらなる連結材料を含んでもよいことは理解されるべきである。
いくつかの可変位置決めデバイスは、少なくとも一つの発熱体配列の位置および高さを制御可能なように調整するために利用されてもよく、例えば、可変位置決めデバイスは、マイクロメータ(手動またはモータによる作動のいずれか)、差動マイクロメータまたは圧電アクチュエータのうちの少なくとも一つを備えてもよい。
本開示の可変位置決めデバイスは、いくつかの方向に少なくとも一つの発熱体配列を所望の通りに設置するよう構成されてもよい。例えば、本開示の少なくとも一つの可変位置決めデバイスによって、反応チャンバの縦方向と平行、反応チャンバの縦方向と垂直を含むが限定はされない、一つ以上の方向に、少なくとも一つの発熱体配列を変位させることを可能にしてもよく、また反応チャンバの上方チャンバ壁の位置に対して、少なくとも一つの発熱体配列の高さを制御可能なように調整してもよい。
本開示の一部の実施形態では、少なくとも一つの可変位置決めデバイスは、約2センチメートル以下もしくは約1センチメートル以下、またはさらに約0.5センチメートル以下の、最も少ない一つの発熱体配列を変位させるように構成されてもよい。加えて、少なくとも一つの可変位置決めデバイスは、0.1ミリメートル未満もしくは0.01ミリメートル未満、またはさらに0.001ミリメートル未満の変位の正確性を提供するように構成されてもよい。
本開示の半導体加工装置は、さらなる要素を含んでもよい。図2に示す通り、本開示の半導体加工装置はさらに、少なくとも一つの発熱体配列204の下方にある反応チャンバ100内に配置される、サセプタ202を備える基板支持体を備えてもよく、サセプタ202は、少なくとも一つの基板212を支持するように構成され、サセプタ202を備える基板支持体は、基板212がその周りを回転する場合がある、中心軸を有する。少なくとも一つの基板212は、半導体加工機器の分野で既知であるような、黒鉛または炭化ケイ素など、放射熱エネルギーを通さない材料を備える、サセプタ202を備えてもよい基板支持体によって支持されてもよい。サセプタ202および基板212は、図2に示す通り、支持構造物によって反応チャンバ100内に所望の高さで保持される。サセプタ202は、反応チャンバ104の底壁に従属している管226を通って延在する、回転可能シャフト224の上端に接続する好適な支持体222のアーム220上で支持されてもよい。サセプタ202は、支持板226の上表面とおおよそ同じ高さで示されている。これにより、反応チャンバ100のサセプタ202の上に、基板212を位置付けるのを容易にする。内部チャンバ支持アセンブリに関するさらなる詳細、および半導体加工チャンバについての他の詳細は、2000年7月25日発行の米国特許第6,093,252号に見つけることができ、その全体は参照することによって本明細書に援用され、本明細書の一部となる。
本開示の半導体加工装置によって、反応チャンバ100内の熱環境をより上手く制御することが可能になる。一部の実施形態では、少なくとも一つの発熱体配列は、少なくとも一つの基板の表面全体で、温度均一性の差を1.5℃未満にするように構成され、もしくは少なくとも一つの基板の表面全体で、温度均一性の差を0.5℃未満にするように構成され、またはさらに少なくとも一つの基板の表面全体で、温度均一性の差を0.25℃未満にするように構成される。一部の実施形態では、少なくとも一つの基板は、主に化学蒸着プロセスが進行する、露出した上表面を備えてもよい。一部の実施形態では、少なくとも一つの基板は、25ミリメートルより大きい、もしくは100ミリメートルより大きい、もしくは200ミリメートルより大きい、もしくは300ミリメートルより大きい、または450ミリメートルよりさらに大きい直径を持つ基板を備えてもよい。
本開示の一部の実施形態では、反応チャンバ内における熱の均一性、および特に基板を配置するサセプタ全体における熱均一性を、反応チャンバの上方に配置される発熱体配列と組み合わせて、一つ以上の反射鏡を利用することによってさらに向上させてもよい。ある実施形態では、一つ以上の反射鏡は、一体成形の反射鏡を備えてもよく、すなわち、反射鏡は単一片の材料から製作されてもよい。本開示の一部の実施形態では、一体成形の反射鏡は、複数の放物線セグメントを備えてもよく、複数の放物線セグメントのうちの個々のセグメントは、放射発熱体の上方に隣接して各々配置される。代替の実施形態では、複数の非放物線セグメントが、放射発熱体の上方に隣接して配置されてもよい。
より詳細には、図4が、図2の半導体加工装置200の基準線228~228を通る断面図を示し、反応チャンバ100および本開示の半導体加工装置の関連構成要素を貫く詳細図を提供する。図4は、反応チャンバ100内に配置されるサセプタ202と、サセプタ202の回転のための機構を提供する場合がある(図示せず)、反応チャンバ100の底壁104に従属する管226とを示す。反応チャンバ100の上方に配置されるのが、閉鎖位置で示される上方発熱筐体206であり、上方発熱筐体206は、反応チャンバ筐体404と接触する上方発熱筐体壁402を備える。上方発熱筐体206内に配置され、ブラケット406を介して上方発熱筐体206に連結するのが、一体成形の反射鏡408である。一体成形の反射鏡408は、複数の放物線セグメント410を備え、個々の放物線セグメント410は各々、個々の放射発熱灯206の上方に隣接して配置される。本開示の一部の実施形態では、放射発熱灯206の各々は、放物線セグメント上で衝突する放射熱エネルギーが、下層のサセプタおよび関連する基板上にまで伝わるように、それら放射発熱灯と関連付けられる、対応する放物線セグメントの焦点に設置される。
本開示の一部の実施形態では、一つ以上の可変位置決めデバイスは、放射発熱灯と一体成形の反射鏡との間の調節可能な距離、および特に複数の放物線セグメントの焦点を提供するように構成されてもよい。そのような放射発熱体の相対位置の調整、および複数の放物線セグメントの焦点によって、放射発熱体を対応する放物線要素の焦点に位置付けることが可能になり、そのような相対的位置付けを、複数の堆積システムに渡って達成することができ、複数の堆積システムが反応チャンバ内に実質的に同じ熱環境を提供できる。代替の実施形態では、反応チャンバの上方に配置される発熱体配列の相対的な高さを調整する場合がある、一つ以上の可変位置決めデバイスは、発熱体配列の相対的な高さの任意の調整によって、発熱体配列に対する一体成形の反射鏡の位置を維持するように、発熱体配列および一体成形の反射鏡の両方に連結してもよい。
一体成形の反射鏡はまた、一体成形の反射鏡の下表面から、一体成形の反射鏡の上表面にまで延在する、複数の開口部412を備えてもよい。一部の実施形態では、一体成形の反射鏡を通って延在する個々の開口部は、個々の放物線要素内に各々配置されてもよく、各開口部は、一体成形の反射鏡の周辺端部に近づけるように、放物線要素の焦点に実質的に平行して延在してもよい。複数の開口部は、反応チャンバ100の上方から上方発熱筐体206の内部への気流を可能にするように利用されてもよく、そのような気流によって、放射発熱体および反応チャンバの冷却が可能になってもよい。
本開示の一部の実施形態では、一体成形の反射鏡408は、例えば、単一片の金、アルミニウム、ニッケル、銅、金属化マイラーまたは多層誘電材料などの、単一片の材料から製造されてもよい。
上方発熱筐体206は、図5は閉鎖(下方)位置の上方発熱筐体206を示す、図5により詳細に示される。上方発熱筐体206は、上方発熱筐体206内に配置される発熱体配列を、制御可能なように位置付けおよび再配置をする場合がある、一つ以上の可変位置決めデバイス214および302を備えてもよい。図5に示す非制限的な例示の実施形態では、可変位置決めデバイス214および302は、x軸およびy軸の両方、すなわち、下層の反応チャンバの縦方向に対して平行および垂直な、発熱体配列の位置を調整するように利用されてもよい。上方発熱筐体206はまた、上方発熱筐体壁402を備えてもよく、上方発熱筐体壁402に連結するのは、一つ以上の光高温計が配置される場合がある、高温計の台502である。図5に示す非制限的な例示の実施形態では、高温計の台502は、反応チャンバの内部および反応チャンバの外部にある既定の場所で温度を感知するように構成されてもよい、二つの高温計504Aおよび504Bに連結する。例えば、高温計504Aは、反応チャンバ内の温度を感知し、特に反応チャンバ内のサセプタ上に配置される、基板の温度を感知するように構成されてもよい一方、高温計504Bは、石英反応チャンバの外部温度を感知するように構成されてもよい。
また、高温計の台502上に配置されるのは、関連する高温計504Aおよび504Bの正確な位置付けを可能とするように利用されてもよい、位置決めデバイス506Aおよび506Bである。非制限的な例示の実施形態では、高温計504Aおよび504Bに連結する、位置決めデバイス506Aおよび506Bは、x軸およびy軸の両方に高温計504Aおよび504Bを位置付けおよび再配置をするように構成されてもよい、マイクロメータを備える場合がある。上方発熱筐体206はまた、高温計504Aおよび504Bと熱接触し、高温計504Aおよび504Bの冷却を可能にするヒートシンク機能を提供する、一つ以上の高温計冷却ブロック508を備えてもよい。上方発熱筐体206はまた、上方発熱筐体を上下させるのに利用されてもよい、一つ以上の開閉ブラケット510を含んでもよい。
図6は、上方発熱筐体206の機能性を見ることが可能になるように、上方発熱筐体壁を除去し、上方発熱筐体206内から様々な他の補助的構成要素を除去した、上方発熱筐体206を示す。例えば、上方発熱筐体206の内部には、放射発熱体配列(図示せず)の上方に配置される、一体成形の反射鏡408を含んでもよく、一体成形の反射鏡408は、一体成形の反射鏡の上表面から一体成形の反射鏡の下表面へと下方に延在する、気流の開口部412を含み、複数の気流の開口部412は、複数の放射発熱体および下層の石英反応チャンバを冷却するために利用される。また、一体成形の反射鏡408は、再び一体成形の反射鏡の上表面から一体成形の反射鏡の下表面を通って延在する、さらなる開口部602も含んでよい。一体成形の反射鏡を通るさらなる開口部は、上方発熱筐体壁上に配置される、先に論じた高温計(先に図5に示した通り)から一体成形の反射鏡を通る光プローブを方向付けるために利用されてもよい。
図6に示す通り、上方発熱筐体206の内部はさらに、一体成形の反射鏡408(および関連する放射発熱体配列)と、非制限的な例として、x軸における放射発熱体配列の位置決めを調節するための、マイクロメータ214を含んでもよく、y軸における放射発熱体配列の位置決めを調節するための、マイクロメータ302をさらに含んでもよい、一つ以上の可変位置決めデバイスとの両方に連結する場合がある、XYステージ604を備えてもよい。
可変位置決めデバイス214および302に加えて、上方発熱筐体206の内部には、さらなる可変位置決めデバイス216Aおよび216Bを備えてもよい。本開示の一部の実施形態では、可変位置決めデバイス216Aおよび216Bは、XYステージ604と一体成形の反射鏡408(および関連する放射発熱体配列)とに連結する、調整ねじを備えてもよい。非制限的な例示の実施形態では、調整ねじを右に回して、放射発熱体配列と、上方チャンバ壁および下方に配置されるサセプタとの間の距離を増加させてもよく、逆に、調整ねじを左に回して、放射発熱体配列と、上方チャンバ壁および下方に配置されるサセプタとの間の距離を減少させてもよい。本開示の一部の実施形態では、三つの別個の調整ねじが、z軸に発熱体配列を位置付けおよび再配置をするように、すなわち、上方チャンバ壁、および特に反応チャンバ内で露わになったサセプタへの、放射発熱体配列の相対的な高さを調節する、XYステージ604に連結してもよい。一部の実施形態では、調整ねじは、XYステージ604の上表面上に配置されるV形の溝の中に配置されてもよく、アセンブリが加熱および冷却プロセス中に拡張し収縮するとき、一体成形の反射鏡中心部が同じ位置を保つことを保証するよう放射状に内向きを指す、ボール先端を下方突起部に含んでもよい。
上方発熱筐体206はさらに、上方発熱筐体を反応チャンバ筐体に接続する、一つ以上のヒンジ機構を備えてもよい。例えば、図6は、ヒンジ機構606Aおよび606Bを示し、ヒンジ機構606Aおよび606Bの第1表面が上方発熱筐体206に付着し、ヒンジ機構606Aおよび606Bの第2表面が反応チャンバ筐体に付着してもよい。そのため、本開示の一部の実施形態では、少なくとも一つの発熱体配列は、上方発熱筐体の中に配置され、上方発熱筐体は、一つ以上のヒンジ機構によって反応チャンバ筐体に接続する。
本開示の一部の実施形態では、一つ以上のヒンジ機構は、固定位置で反応チャンバ筐体に接続し、すなわち、上方発熱筐体と反応チャンバ筐体との間の連結部は、反応チャンバを備える複数のリブに対する、上方発熱筐体の中の放射発熱体配列の位置のいかなる変動も、発熱体配列に連結する可変位置決めデバイスのうちの少なくとも一つを調整することによって達成されるような、固定された不変位置にある。言い換えると、発熱体配列の位置の変動は、反応チャンバに対して上方発熱筐体を上下させる動きによってもたらされるべきではない。そのため、一部の実施形態では、一つ以上のヒンジ機構は、反応チャンバ100に対して上方発熱筐体206を上下させるように構成されてもよい。例えば、一部の実施形態では、一つ以上のヒンジ機構はさらに、複数のリブに対する0.25ミリメートル未満の位置公差で、上方発熱筐体206を下げた位置(すなわち、閉鎖位置)に再配置するように構成される。例えば、図7は、上方発熱筐体206が開放位置にある、本開示の半導体加工装置200を示す。上方発熱筐体は、反応チャンバ筐体702の中に配置される反応チャンバ100の上方に、開放位置、すなわち、上げた位置にある発熱体の上方配列204を含む。図7に示す通り、半導体加工装置200はさらに、上方発熱筐体206を反応チャンバ筐体702に付着させるように利用される、ヒンジ機構606を備える。
本開示の実施形態はまた、半導体加工装置を較正する方法を提供してもよい。例えば、一部の実施形態では、放射発熱体の上方配列は、石英反応チャンバを備える複数のリブに平行して配置されてもよく、複数のリブによって、下層の基板上に基板の平均温度よりも低い温度のエリアをもたらすことができる「シャドーイング」を、反応チャンバ内に配置される基板上に引き起こしてもよい。加えて、複数のリブによって、下層の基板上に、基板の平均温度よりも高い温度のエリアをもたらすことができる、複数の発熱灯の放射エネルギーの「光導波路」を引き起こしてもよい。そのため、サセプタ上に配置される基板全体の温度は、発熱体の上方配列と複数のリブとの相対位置に依存する場合がある、特有の温度分布を有してもよい。本開示の一部の実施形態では、特有の温度分布を特定のプロセスに合わせてもよく、例えば、非制限的な例として、温度分布を、基板の端部から基板の中心へと温度勾配が存在するように合わせてもよい。
複数のリブおよび発熱体の上方配列を備える、石英反応チャンバを利用する化学蒸着システムなど、従来の技術による半導体加工装置の動作および保守の間に、上方発熱筐体を閉鎖位置から開放位置まで持ち上げ、再び戻すことが必要な場合がある。上方発熱筐体を上下させる動作中、石英反応チャンバを備える複数のリブに対する、発熱体配列の相対位置が変化する場合があり、装置の特有な温度分布が修正される場合がある。そのため、開示の実施形態によって、複数のリブと発熱体の上方配列との間の相対位置を維持する方法を提供してもよく、それにより、延長される動作および保守の間、半導体加工装置の特有な温度分布を保全する。
加えて、複数の石英反応チャンバを備える、複数の半導体加工装置に渡る「ツール間整合」を有効にするために、同じプロセスレシピを使用する複数の装置が、実質的に同じ堆積結果を生成することを保証するように、特有の温度分布を、複数の半導体加工装置に渡って複製する必要があってもよい。そのため、方法は、複数の半導体加工装置の熱環境に合致する必要がある。
一部の実施形態では、半導体加工装置を較正する方法は、反応チャンバを提供することを含んでもよく、反応チャンバは、垂直側壁によって接続する上方チャンバ壁および下方チャンバ壁を備え、チャンバ壁は、上流入口フランジおよび下流出口フランジによって接合され、反応チャンバの縦方向は、入口フランジから出口フランジへ延在する。本開示の方法の反応チャンバはまた、少なくとも上方チャンバ壁の外表面上に提供される複数のリブを備えてもよく、複数のリブは、反応チャンバの縦方向に対し横方向に配向する。半導体加工装置を較正する方法はまた、反応チャンバの上方に配置される、少なくとも一つの発熱体配列を提供することを含んでもよい。一部の実施形態では、半導体加工装置を較正する方法はまた、発熱体配列の位置を複数のリブの位置に対して、制御可能なように調整するよう、少なくとも一つの発熱体配列に連結される、少なくとも一つの可変位置決めデバイスを調整することを含んでもよい。
一部の実施形態では、本開示の半導体加工装置の中で利用される反応チャンバは、修復反応チャンバを備えてもよく、すなわち、反応チャンバは、本明細書に記載するような修復プロセスを経た石英反応チャンバを備えてもよい。石英反応チャンバを修復するプロセス中、石英反応チャンバの限界寸法は修正されてもよく、そのため、修復された石英反応チャンバを、本開示の半導体加工装置内で再利用するとき、所望の特有な温度分布を提供するように、反応チャンバの複数のリブに対して、発熱体の上方配列の位置を調整する必要がある場合がある。
一部の実施形態では、方法は、複数の放射発熱灯を備えるように、少なくとも一つの発熱体配列を選択することを含んでもよい。一部の実施形態では、複数の放射発熱灯が、反応チャンバの縦方向と実質的に平行に配置される細長い管型からなる。代替の実施形態では、複数の放射発熱灯が、反応チャンバの縦方向と実質的に垂直に配置される細長い管型からなる。
本開示の実施形態は、加熱の上方配列と、石英反応チャンバを備える複数のリブとの間の相対位置を維持する方法を含んでもよい。そのため、一部の実施形態では、方法は、少なくとも一つの発熱体配列の位置を、反応チャンバの縦方向に対して実質的な平行方向に、制御可能なように調整するよう、少なくとも一つの可変位置決めデバイスを選択することを含んでもよい。加えて、一部の実施形態では、方法は、少なくとも一つの発熱体配列の位置を、反応チャンバの縦方向に対して実質的な垂直方向に、制御可能なように調整するよう、少なくとも一つの可変位置決めデバイスを選択することを含んでもよい。さらなる実施形態では、方法は、少なくとも一つの発熱体配列の高さを、反応チャンバの上方チャンバ壁の位置に対して、制御可能なように調整するよう、少なくとも一つの可変位置決めデバイスを選択することを含んでもよい。
本開示の方法の一部の実施形態では、少なくとも一つの発熱体配列が、少なくとも二つの可変位置決めデバイスに連結される。例えば、発熱体の上方配列は、第1可変位置決めデバイスおよび第2可変位置決めデバイスに連結してもよく、第1可変位置決めデバイスは、反応チャンバの縦方向に実質的に平行な方向に、発熱体配列の位置を制御可能なように調整し、第2可変位置決めデバイスは、反応チャンバの縦方向に実質的に垂直な方向に、発熱体配列の位置を制御可能なように調整する。
本開示の方法の一部の実施形態では、少なくとも一つの発熱体配列が、少なくとも三つの可変位置決めデバイスに連結される。例えば、発熱体の上方配列は、第1可変位置決めデバイス、第2可変位置決めデバイスおよび第3可変位置決めデバイスに連結してもよく、第1および第2可変位置決めデバイスは、x~y軸で発熱体配列の位置を調整してもよく、第3可変位置決めデバイスは、反応チャンバの上方チャンバ壁の位置に対して、最も少ない少なくとも一つの発熱体配列の高さを制御可能なように調整してもよい。
一部の実施形態では、方法はさらに、約2センチメートル以下もしくは約1センチメートル以下、または約0.5センチメートル以下、少なくとも一つの発熱体配列を変位させるように、少なくとも一つの可変位置決めデバイスを選択することを含んでもよい。
二つ以上の可変位置決めデバイスが、一つの個別の方向に発熱体配列の位置を調整するように利用されてもよいことに留意すべきである。例えば、二つ以上の可変位置決めデバイスは、少なくとも一つの発熱体配列の高さを、反応チャンバの上方チャンバ壁の位置に対して、制御可能なように調整するよう利用されてもよい。
半導体加工装置を較正する方法はさらに、少なくとも一つの発熱体配列の下方にある反応チャンバ内に配置される基板支持体を提供することを含んでもよく、基板支持体は、少なくとも一つの基板を支持するように構成され、基板支持体は中心軸を有し、その周りを回転する。さらなる実施形態では、方法は、少なくとも一つの発熱体配列に隣接して配置される、複数の放物線セグメントを備える、一体成形の反射鏡を提供することを含んでもよい。
半導体加工装置を較正する方法はさらに、上方発熱筐体の中に配置されるように、少なくとも一つの発熱体配列を選択することと、上方発熱筐体を、一つ以上のヒンジ機構によって反応チャンバに接続することとを含んでもよい。方法はまた、固定位置で反応チャンバ筐体に接続するように、一つ以上のヒンジ機構を選択することを含んでもよい。一つ以上のヒンジ機構の固定された位置決めにより、反応チャンバに対して上方発熱筐体を上下させることによって、上方発熱筐体を再配置することが可能になり、上方発熱筐体を再配置することは、複数のリブに対して0.25ミリメートル未満の位置公差まで、下げた位置に前記上方発熱筐体を再配置することを含む。
本開示の一部の実施形態では、反応チャンバは、修復石英反応チャンバを備えてもよく、修復プロセス中、反応チャンバを備える複数のリブは、任意の修復プロセスの前に決定された公称位置から逸脱してもよく、すなわち、複数のリブの公称位置は、新しい未使用の反応チャンバに対して決定される。複数のリブの各々の公称位置は、入口フランジ110(図2参照)(あるいは、出口フランジ112)から複数のリブ116の各々までの距離を測定することによって決定されてもよい。複数のリブの各々の公称位置が決定されると、反応チャンバが修復プロセスを必要とすると判定されるまで、反応チャンバを利用することができる。
反応チャンバ上の修復プロセスが完了すると、本開示の方法は、入口フランジから複数のリブの各々までの距離を測定し、事前に記録された公称位置からの複数のリブ各々の逸脱距離を計算することによって継続してもよい。方法は、複数のリブの平均逸脱距離を計算することによって継続してもよい。複数のリブの平均逸脱距離は、例えば、エッチングプロセスを利用して、反応チャンバ上、すなわち、入口フランジ上に印を生成して、反応チャンバ自体に記録されてもよい。本開示の方法は、平均逸脱距離と実質的に等しい量だけ、少なくとも一つの発熱体配列に連結される、少なくとも一つの可変位置決めデバイスの位置を調整することによって持続してもよい。そのため、本開示の方法によって、複数のリブの平均逸脱距離を決定し、複数のリブの任意のそのような平均逸脱距離を補うように、発熱体配列の位置を調整することが可能になる。
本明細書に記載する通りの半導体加工装置を較正する方法によって、反応チャンバ内に配置される基板全体の温度不均一性を削減してもよい。例えば、一部の実施形態では、少なくとも一つの発熱体配列は、少なくとも一つの基板の表面全体で、温度均一性の差を1.5℃未満、もしくは少なくとも一つの基板の表面全体で、温度均一性の差を0.4℃未満、またはさらに少なくとも一つの基板の表面全体で、温度均一性の差を0.25℃未満にするように構成される。一部の実施形態では、少なくとも一つの基板は、25ミリメートルより大きい、もしくは100ミリメートルより大きい、もしくは200ミリメートルより大きい、もしくは300ミリメートルより大きい、または450ミリメートルよりさらに大きい直径を持つ基板を備えてもよい。
これらの実施形態は、添付の特許請求の範囲およびその法的等価物により定義される、本発明の実施形態の単なる例であるため、上に記載した本開示の例示の実施形態は、本発明の範囲を限定するものではない。いかなる同等の実施形態も、本発明の範囲内にあるものとする。実際に、記載した要素の代替の有用な組み合わせなど、本明細書に示し記載したものに加えて、本開示の様々な改変は、記載から当業者には明らかとなってもよい。このような改変および実施形態もまた、添付の特許請求の範囲に入ると意図される。

Claims (42)

  1. 反応チャンバであって、
    垂直側壁によって接続する上方チャンバ壁および下方チャンバ壁であって、前記チャンバ壁は、上流入口フランジおよび下流出口フランジによって接合され、前記チャンバの縦方向は、前記入口フランジから前記出口フランジへ延在する、上方チャンバ壁および下方チャンバ壁と、
    少なくとも前記上方チャンバ壁の外表面上に提供される複数のリブであって、前記チャンバの前記縦方向に対し横方向に配向する、複数のリブと、を備える、反応チャンバと、
    前記反応チャンバの上方に配置される、少なくとも一つの発熱体配列と、
    前記少なくとも一つの発熱体配列に連結し、前記少なくとも一つの発熱体配列の位置を、前記複数のリブの位置に対して、制御可能なように調整するよう構成される、少なくとも一つの可変位置決めデバイスと、を備える、半導体加工装置。
  2. 前記少なくとも一つの発熱体配列は、複数の放射発熱灯を備える、請求項1に記載の装置。
  3. 前記複数の放射発熱灯が、前記チャンバの前記縦方向と実質的に平行に配置される細長い管型からなる、請求項2に記載の装置。
  4. 前記複数の放射発熱灯が、前記チャンバの前記縦方向と実質的に垂直に配置される細長い管型からなる、請求項2に記載の装置。
  5. 前記少なくとも一つの可変位置決めデバイスが、前記少なくとも一つの発熱体配列の前記位置を、前記反応チャンバの前記縦方向に対して実質的な平行方向に、制御可能なように調整するよう構成される、請求項1に記載の装置。
  6. 前記少なくとも一つの可変位置決めデバイスが、前記少なくとも一つの発熱体配列の前記位置を、前記反応チャンバの前記縦方向に対して実質的な垂直方向に、制御可能なように調整するよう構成される、請求項1に記載の装置。
  7. 前記少なくとも一つの可変位置決めデバイスが、前記少なくとも一つの発熱体配列の高さを、前記反応チャンバの前記上方チャンバ壁の前記位置に対して、制御可能なように調整するよう構成される、請求項1に記載の装置。
  8. 前記少なくとも一つの発熱体配列が、少なくとも二つの可変位置決めデバイスに連結される、請求項1に記載の装置。
  9. 前記少なくとも一つの発熱体配列が、少なくとも三つの可変位置決めデバイスに連結される、請求項1に記載の装置。
  10. 前記少なくとも一つの発熱体配列の下方にある前記反応チャンバ内に配置される基板支持体であって、少なくとも一つの基板を支持するように構成される、基板支持体をさらに備え、
    前記基板支持体は、中心軸を有し、その周りを回転する、請求項1に記載の装置。
  11. 前記少なくとも一つの発熱体配列が、前記少なくとも一つの基板の表面全体で、温度均一性の差が1.5℃未満になるように構成される、請求項10に記載の装置。
  12. 前記少なくとも一つの発熱体配列が、前記少なくとも一つの基板の表面全体で、温度均一性の差が0.4℃未満となるように構成される、請求項10に記載の装置。
  13. 前記少なくとも一つの発熱体配列が、前記少なくとも一つの基板の表面全体で、温度均一性の差が0.25℃未満となるように構成される、請求項10に記載の装置。
  14. 前記少なくとも一つの可変位置決めデバイスは、前記少なくとも一つの発熱体配列が、約2センチメートル以下で変位するように構成される、請求項1に記載の装置。
  15. 前記反応チャンバは、修復反応チャンバを備える、請求項1に記載の装置。
  16. 前記少なくとも一つの発熱体配列に隣接して配置される、複数の放物線反射鏡を備える、一体成形の反射鏡をさらに備える、請求項1に記載の装置。
  17. 前記反応チャンバの下方に配置される、発熱体のさらなる配列をさらに備える、請求項1に記載の装置。
  18. 前記少なくとも一つの発熱体配列は、上方発熱筐体の中に配置され、前記上方発熱筐体は、一つ以上のヒンジ機構によって反応チャンバ筐体に接続する、請求項1に記載の装置。
  19. 前記一つ以上のヒンジ機構は、前記反応チャンバ筐体に固定位置で接続する、請求項18に記載の装置。
  20. 前記一つ以上のヒンジ機構は、前記反応チャンバに対して前記上方発熱筐体を上下させるように構成され、前記一つ以上のヒンジ機構のうちの少なくとも一つは、前記複数のリブに対して0.25ミリメートル未満の位置公差で、下げた位置に前記上方発熱筐体を再配置するように、さらに構成される、請求項19に記載の装置。
  21. 前記複数の放射発熱灯は、互いに実質的に平行かつ隣接して配置される、細長い管型からなり、個々の前記放射発熱灯間の距離は、調整可能である、請求項に記載の装置。
  22. 反応チャンバを提供することであって、
    垂直側壁によって接続する上方チャンバ壁および下方チャンバ壁であって、前記チャンバ壁は、上流入口フランジおよび下流出口フランジによって接合され、前記反応チャンバの縦方向は、前記入口フランジから前記出口フランジへ延在する、上方チャンバ壁および下方チャンバ壁と、
    少なくとも前記上方チャンバ壁の外表面上に提供される複数のリブであって、前記反応チャンバの前記縦方向に対し横方向に配向する、複数のリブと、を備える、反応チャンバを提供することと、
    前記反応チャンバの上方に配置される、少なくとも一つの発熱体配列を提供することと、
    前記発熱体配列の位置を、前記複数のリブの位置に対して、制御可能なように調整するよう、前記少なくとも一つの発熱体配列に連結される、少なくとも一つの可変位置決めデバイスを調整することと、を含む、半導体加工装置を較正する方法。
  23. 修復反応チャンバを備えるように、前記反応チャンバを選択することを、さらに含む、請求項22に記載の方法。
  24. 複数の放射発熱灯を備えるように、前記少なくとも一つの発熱体配列を選択することをさらに含む、請求項22に記載の方法。
  25. 前記複数の放射発熱灯が、前記反応チャンバの前記縦方向と実質的に平行に配置される細長い管型からなる、請求項24に記載の方法。
  26. 前記複数の放射発熱灯が、前記反応チャンバの前記縦方向と実質的に垂直に配置される細長い管型からなる、請求項24に記載の方法。
  27. 前記少なくとも一つの発熱体配列の前記位置を、前記反応チャンバの前記縦方向に対して実質的な平行方向に、制御可能なように調整するよう、前記少なくとも一つの可変位置決めデバイスを選択することをさらに含む、請求項24に記載の方法。
  28. 前記少なくとも一つの発熱体配列の前記位置を、前記反応チャンバの前記縦方向に対して実質的な垂直方向に、制御可能なように調整するよう、前記少なくとも一つの可変位置決めデバイスを選択することをさらに含む、請求項24に記載の方法。
  29. 前記少なくとも一つの発熱体配列の高さを、前記反応チャンバの前記上方チャンバ壁の前記位置に対して、制御可能なように調整するよう、前記少なくとも一つの可変位置決めデバイスを選択することをさらに含む、請求項24に記載の方法。
  30. 前記少なくとも一つの発熱体配列が、少なくとも二つの可変位置決めデバイスに連結される、請求項24に記載の方法。
  31. 前記少なくとも一つの発熱体配列が、少なくとも三つの可変位置決めデバイスに連結される、請求項24に記載の方法。
  32. 前記少なくとも一つの発熱体配列の下方にある、前記反応チャンバ内に配置される基板支持体を提供することであって、前記基板支持体は、少なくとも一つの基板を支持するように構成される、ことをさらに含み、
    前記基板支持体は、中心軸を有し、その周りを回転する、請求項24に記載の方法。
  33. 前記少なくとも一つの発熱体配列が、前記少なくとも一つの基板の表面全体で、温度均一性の差が1.5℃未満となるように構成される、請求項32に記載の方法。
  34. 前記少なくとも一つの発熱体配列が、前記少なくとも一つの基板の表面全体で、温度均一性の差が0.4℃未満となるように構成される、請求項32に記載の方法。
  35. 前記少なくとも一つの発熱体配列が、前記少なくとも一つの基板の表面全体で、温度均一性の差が0.25℃未満となるように構成される、請求項32に記載の方法。
  36. 前記少なくとも一つの発熱体配列は、約2センチメートル以下で変位するように、前記少なくとも一つの可変位置決めデバイスを選択することをさらに含む、請求項22に記載の方法。
  37. 前記少なくとも一つの発熱体配列に隣接して配置される、複数の放物線セグメントを備える、一体成形の反射鏡を提供することをさらに含む、請求項22に記載の方法。
  38. 上方発熱筐体の中に配置されるように、前記少なくとも一つの発熱体配列を選択することと、前記上方発熱筐体を、一つ以上のヒンジ機構によって反応チャンバ筐体に接続することと、をさらに含む、請求項22に記載の方法。
  39. 前記反応チャンバ筐体に固定位置で接続するように、前記一つ以上のヒンジ機構を選択することをさらに含む、請求項38に記載の方法。
  40. 前記反応チャンバに対して前記上方発熱筐体を上下させることによって、前記上方発熱筐体を再配置することをさらに含み、前記上方発熱筐体を再配置することは、前記複数のリブに対して0.25mm未満の位置公差まで、下げた位置に前記上方発熱筐体を再配置することを含む、請求項39に記載の方法。
  41. 前記複数の放射発熱灯は、互いに実質的に平行かつ隣接して配置される、細長い管型からなり、個々の前記放射発熱灯間の距離は、調整可能である、請求項24に記載の方法。
  42. 前記入口フランジから前記複数のリブ各々までの距離を測定することと、
    前記複数のリブ各々の公称位置からの逸脱距離を計算することと、
    前記複数のリブの平均逸脱距離を計算することと、
    前記平均逸脱距離と等しい量だけ、前記少なくとも一つの発熱体配列に連結される、前記少なくとも一つの可変位置決めデバイスの前記位置を調整することと、をさらに含む、請求項22に記載の方法。
JP2018115780A 2017-06-20 2018-06-19 半導体加工装置および半導体加工装置を較正する方法 Active JP7168355B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762522550P 2017-06-20 2017-06-20
US62/522,550 2017-06-20

Publications (2)

Publication Number Publication Date
JP2019071404A JP2019071404A (ja) 2019-05-09
JP7168355B2 true JP7168355B2 (ja) 2022-11-09

Family

ID=64656444

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018115780A Active JP7168355B2 (ja) 2017-06-20 2018-06-19 半導体加工装置および半導体加工装置を較正する方法

Country Status (4)

Country Link
US (1) US20180363139A1 (ja)
JP (1) JP7168355B2 (ja)
KR (1) KR102635654B1 (ja)
CN (1) CN109103125B (ja)

Families Citing this family (266)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
KR20210096004A (ko) * 2020-01-24 2021-08-04 에이에스엠 아이피 홀딩 비.브이. 측면 지지부를 갖는 공정 챔버
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050092242A1 (en) 2003-10-29 2005-05-05 Wood Eric R. Staggered ribs on process chamber to reduce thermal effects
JP2010010409A (ja) 2008-06-27 2010-01-14 Ulvac Japan Ltd 熱処理装置
JP2012178576A (ja) 2005-09-21 2012-09-13 Tokyo Electron Ltd 熱処理装置及び記憶媒体
JP2013534970A (ja) 2010-06-11 2013-09-09 東京エレクトロン株式会社 化学気相成長を制御するための装置及び方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2532783A1 (fr) * 1982-09-07 1984-03-09 Vu Duy Phach Machine de traitement thermique pour semiconducteurs
US4956538A (en) * 1988-09-09 1990-09-11 Texas Instruments, Incorporated Method and apparatus for real-time wafer temperature measurement using infrared pyrometry in advanced lamp-heated rapid thermal processors
US4920918A (en) * 1989-04-18 1990-05-01 Applied Materials, Inc. Pressure-resistant thermal reactor system for semiconductor processing
US5219226A (en) * 1991-10-25 1993-06-15 Quadtek, Inc. Imaging and temperature monitoring system
US6093252A (en) * 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
AU1843597A (en) * 1996-01-31 1997-08-22 Asm America, Inc. Model-based predictive control of thermal processing
US6126744A (en) * 1996-11-18 2000-10-03 Asm America, Inc. Method and system for adjusting semiconductor processing equipment
JP3483733B2 (ja) * 1997-06-04 2004-01-06 株式会社日立国際電気 半導体製造装置及び基板処理方法
US6121061A (en) * 1997-11-03 2000-09-19 Asm America, Inc. Method of processing wafers with low mass support
US6143079A (en) * 1998-11-19 2000-11-07 Asm America, Inc. Compact process chamber for improved process uniformity
JP3754855B2 (ja) * 1999-01-07 2006-03-15 キヤノン株式会社 基板処理装置及び基板処理方法
US6191399B1 (en) * 2000-02-01 2001-02-20 Asm America, Inc. System of controlling the temperature of a processing chamber
JP4540796B2 (ja) * 2000-04-21 2010-09-08 東京エレクトロン株式会社 石英ウインドウ、リフレクタ及び熱処理装置
JP4133062B2 (ja) * 2002-07-19 2008-08-13 大日本スクリーン製造株式会社 熱処理装置
US6818864B2 (en) * 2002-08-09 2004-11-16 Asm America, Inc. LED heat lamp arrays for CVD heating
US6947665B2 (en) * 2003-02-10 2005-09-20 Axcelis Technologies, Inc. Radiant heating source with reflective cavity spanning at least two heating elements
US20050238807A1 (en) * 2004-04-27 2005-10-27 Applied Materials, Inc. Refurbishment of a coated chamber component
US7725012B2 (en) * 2007-01-19 2010-05-25 Asm America, Inc. Movable radiant heat sources
EP2247768A2 (en) * 2008-02-27 2010-11-10 S.O.I.Tec Silicon on Insulator Technologies Thermalization of gaseous precursors in cvd reactors
DE102011081749B4 (de) * 2011-04-29 2016-04-14 Von Ardenne Gmbh Substratbehandlungsanlage
WO2015107009A1 (en) * 2014-01-17 2015-07-23 Koninklijke Philips N.V. Heating system comprising semiconductor light sources

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050092242A1 (en) 2003-10-29 2005-05-05 Wood Eric R. Staggered ribs on process chamber to reduce thermal effects
JP2012178576A (ja) 2005-09-21 2012-09-13 Tokyo Electron Ltd 熱処理装置及び記憶媒体
JP2010010409A (ja) 2008-06-27 2010-01-14 Ulvac Japan Ltd 熱処理装置
JP2013534970A (ja) 2010-06-11 2013-09-09 東京エレクトロン株式会社 化学気相成長を制御するための装置及び方法

Also Published As

Publication number Publication date
US20180363139A1 (en) 2018-12-20
JP2019071404A (ja) 2019-05-09
CN109103125B (zh) 2024-04-02
KR20180138142A (ko) 2018-12-28
KR102635654B1 (ko) 2024-02-14
CN109103125A (zh) 2018-12-28

Similar Documents

Publication Publication Date Title
JP7168355B2 (ja) 半導体加工装置および半導体加工装置を較正する方法
US11821088B2 (en) Multi zone spot heating in EPI
TWI692047B (zh) 用於epi製程之晶圓加熱的二極體雷射
KR101819095B1 (ko) Epi 프로세스를 위한 균일성 튜닝 렌즈를 갖는 서셉터 지지 샤프트
CN106663630B (zh) 用于校准基座的设备及方法
US9640412B2 (en) Apparatus and method for enhancing the cool down of radiatively heated substrates
JP6258334B2 (ja) 改善されたエッジリングリップ
KR20020031417A (ko) 반도체 제조 장치
US11815401B2 (en) Temperature calibration with band gap absorption method
US20160111305A1 (en) Apparatus for adjustable light source
US11680338B2 (en) Linear lamp array for improved thermal uniformity and profile control
KR20170118180A (ko) 조절가능한 광원을 위한 장치
TWI724822B (zh) 用於epi製程之晶圓加熱的二極體雷射
JP4533732B2 (ja) 製膜装置及びその製造方法
TW202418429A (zh) 促進沉積處理可調節性的熱源佈置、處理腔室和相關方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210604

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220630

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220704

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220930

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221011

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221027

R150 Certificate of patent or registration of utility model

Ref document number: 7168355

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150