JP6955489B2 - 先進cmp及び凹部流れのための間隙充填膜の修正 - Google Patents

先進cmp及び凹部流れのための間隙充填膜の修正 Download PDF

Info

Publication number
JP6955489B2
JP6955489B2 JP2018520451A JP2018520451A JP6955489B2 JP 6955489 B2 JP6955489 B2 JP 6955489B2 JP 2018520451 A JP2018520451 A JP 2018520451A JP 2018520451 A JP2018520451 A JP 2018520451A JP 6955489 B2 JP6955489 B2 JP 6955489B2
Authority
JP
Japan
Prior art keywords
filling material
gap
ion
species
ion species
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018520451A
Other languages
English (en)
Other versions
JP2018531518A (ja
JP2018531518A6 (ja
Inventor
エリカ チェン,
エリカ チェン,
ルドヴィーク ゴデット,
ルドヴィーク ゴデット,
シュリニヴァス ディー. ネマニ,
シュリニヴァス ディー. ネマニ,
エリー ワイ. イー,
エリー ワイ. イー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2018531518A publication Critical patent/JP2018531518A/ja
Publication of JP2018531518A6 publication Critical patent/JP2018531518A6/ja
Application granted granted Critical
Publication of JP6955489B2 publication Critical patent/JP6955489B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76202Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using a local oxidation of silicon, e.g. LOCOS, SWAMI, SILO
    • H01L21/76205Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using a local oxidation of silicon, e.g. LOCOS, SWAMI, SILO in a region being recessed from the surface, e.g. in a recess, groove, tub or trench region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Plasma & Fusion (AREA)
  • Element Separation (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

本開示の実施態様は、広くは、基板上で間隙充填材料を生成するための方法に関する。特に、本明細書で提供される実施態様は、間隙充填材料を生成するためのプロセスフローに関する。
半導体処理では、デバイスが、連続的に減少する特徴寸法によって製造されている。しばしば、これらの先進技術ノードでデバイスを製造するために利用される特徴は、高アスペクト比の構造物を含み、絶縁材料などの間隙充填材料を用いて、高アスペクト比の構造物の間の間隙を充填することが、しばしば、必要である。絶縁材料が間隙充填の用途に使用される実施例は、浅溝分離(STI)、金属間誘電体層(ILD)、プリメタル誘電体(PMD)、パッシベーション層、パターニングの用途などを含む。デバイスの形状寸法が縮小し、サーマルバジェットが低減されるので、高アスペクト比の空間のボイドがない充填は、既存の堆積プロセスの制限により次第に困難になる。
間隙充填材料は、流動可能化学気相堆積(FCVD)、スピンオン、原子層堆積(ALD)、プラズマ化学気相堆積(PECVD)、又は低圧化学気相堆積(LPCVD)などの、様々な堆積プロセスによって堆積され得る。堆積したままの間隙充填材料は、通常、品質が悪く、高い湿潤エッチング速度比(WERR)及び高い応力によって特徴付けられる。間隙充填材料の品質を改善するために、硬化及び/又はアニーリングなどの後続プロセスが実行される。それでもなお、間隙充填材料は、未だ、化学機械研磨(CMP)プロセスによってもたらされるディッシンング、及び平坦でない凹部プロファイルなどの、幾つかの重要な課題に直面する。
したがって、間隙充填材料を生成するための改良されたプロセスが必要である。
本開示の実施態様は、広くは、基板上で間隙充填材料を生成するための方法に関する。一実施態様では、間隙充填材料を処理するための方法が、第1のイオンエネルギーを使用して間隙充填材料内に第1のイオン種を注入すること、第2のイオンエネルギーを使用して間隙充填材料内に第2のイオン種を注入することであって、第1のイオンエネルギーが第2のイオンエネルギーよりも大きい、注入すること、第2のイオン種に晒された後で間隙充填材料をアニーリングすること、及び、間隙充填材料に化学機械研磨プロセスを実行することであって、前記間隙充填材料のディッシングが8nm未満である、実行することを含む。
別の一実施態様では、間隙充填材料を処理するための方法が、第1のイオンエネルギーを使用して間隙充填材料内にヘリウムイオン種を注入すること、第2のイオンエネルギーを使用して間隙充填材料内にシリコンイオン種を注入することであって、第1のイオンエネルギーが第2のイオンエネルギーよりも大きい、注入すること、シリコンイオン種に晒された後で間隙充填材料をアニーリングすること、及び、アニーリングされた間隙充填材料に化学機械研磨プロセスを実行することを含む。
別の一実施態様では、間隙充填材料を生成するための方法が、基板上に間隙充填材料を堆積させること、及び間隙充填材料を処理することを含む。処理することは、第1のイオンエネルギーを使用して間隙充填材料内にヘリウムイオン種を注入すること、及び第2のイオンエネルギーを使用して間隙充填材料内にシリコンイオン種を注入することを含み、第1のイオンエネルギーは、第2のイオンエネルギーよりも大きい。該方法は、シリコンイオン種に晒した後で間隙充填材料をアニーリングして、アニーリングされた間隙充填材料を生成すること、及びアニーリングされた間隙充填材料に化学機械研磨プロセスを実行することを更に含む。
本開示の上述の特徴を詳細に理解することができるように、上記で簡単に要約された本開示のより具体的な説明は、実施態様を参照することによって得ることができる。そのうちの幾つかの実施態様は添付の図面で例示されている。しかし、添付図面は例示的な実施態様のみを示すものであり、したがって、本開示の範囲を限定すると見なすべきではなく、その他の等しく有効な実施態様も許容され得ることに留意されたい。
本明細書で説明される一実施態様による、基板上で間隙充填材料を生成するための方法を示す。 本明細書で説明される一実施態様による、湿潤エッチング速度比へのイオン注入の影響を示すチャートである。 本明細書で説明される一実施態様による、湿潤エッチング速度比へのイオン注入の影響を示すチャートである。 本明細書で説明される一実施態様による、膜応力へのイオン注入の影響を示すチャートである。 本明細書で説明される一実施態様による、膜応力へのイオン注入の影響を示すチャートである。 本明細書で説明される一実施態様による、ディッシングへのイオン注入の影響を示すチャートである。 本明細書で説明される一実施態様による、ディッシングへのイオン注入の影響を示すチャートである。 本明細書で説明される一実施態様による、ディッシングへのイオン注入の影響を示すチャートである。 本明細書で説明される一実施態様による、異なる温度におけるイオン濃度へのイオン注入の影響を示すチャートである。 本明細書で説明される一実施態様による、基板上で間隙充填材料を処理するための方法を示す。
理解を容易にするために、可能な場合には、複数の図に共通する同一の要素を指し示すために同一の参照番号を使用した。1つの実施態様の要素及び特徴は、更なる記述がなくとも、他の実施態様に有益に組み込まれることがあると想定されている。
本明細書で説明される実施態様は、間隙充填材料を生成するための方法に関する。間隙充填材料が堆積した後で、間隙充填材料にCMPプロセスが実行される前に、1以上のイオン注入プロセスが、堆積した間隙充填材料を処理するために使用される。1以上のイオン注入プロセスは、第1のイオンエネルギーを使用して間隙充填材料内に第1のイオン種を注入すること、及びその後に第1のイオンエネルギーよりも小さい第2のイオンエネルギーを使用して間隙充填材料内に第2のイオン種を注入することを含む。1以上のイオン注入プロセスは、CMPディッシングを最小化し、凹部プロファイルを改良する。
図1は、本明細書で説明される一実施態様による、基板上で間隙充填材料を生成するための方法100を示している。ブロック110では、間隙充填材料が、基板上に堆積する。基板は、一般的に、その上に形成された特徴を有し、1以上の処理チャンバが、基板上の特徴の間に間隙充填材料を堆積させるために使用され得る。間隙充填材料は、FVCD、スピンオン、ALD、PECVD、又はLPCVDなどの、任意の適切なプロセスによって堆積され得る。間隙充填材料は、誘電体材料などの任意の適切な材料であり得る。一実施態様では、複数のフィンが、基板上で間隔を空けて形成され、間隙充填材料、又は浅溝分離(STI)構造物が、基板上のフィンの間に堆積する。STI構造物は、二酸化ケイ素などの絶縁材料によって形成され得る。別の一実施態様では、間隙充填材料が、複数の多結晶シリコンゲートの間に堆積したレベル間誘電体(ILD)層であり、ILD層が、二酸化ケイ素によって形成され得る。
STI構造物又はILD層などの間隙充填材料は、堆積プロセスに続いて硬化されて、湿気と残留有機物を除去し、材料を硬くして材料の密度を高める。硬化は、通常、摂氏約100度以下の温度の低温プロセスを使用して実行される。そのようなプロセスには、誘導結合プラズマ、紫外線、オゾン、電子ビーム、酸性若しくは塩基性の蒸気、加熱された脱イオン水のような水性環境、及びそのような処理の組み合わせ若しくは一連のものへの曝露が含まれる。
次に、ブロック120では、1以上のイオン注入プロセスが、間隙充填材料に実行され得る。堆積し硬化されたままの間隙充填材料は、後続のCMPプロセス及び非平坦な凹部プロファイルによって生じるディッシング問題と共に、高いWERR及び高い応力を有することがある。ディッシングとは、CMPプロセスの終了時点での間隙充填材料の最も低いポイントと間隙充填材料の最も高いポイントとの間の高さにおける差異として定義される。ディッシング問題によってもたらされた平坦ではない表面は、後続の凹部プロセス又は湿式/乾式洗浄プロセスによって悪化され得る。凹部プロセスは、間隙充填材料上で実行されるエッチングプロセスなどの除去プロセスである。WERR、応力、及びディッシングを低減させるために、間隙充填材料は、1以上のイオン注入プロセスによって処理され得る。
1以上のイオン注入プロセスは、概して、イオン種を間隙充填材料の中へ組み込み、間隙充填材料内の結合を破壊する。結果として、後続のアニーリングプロセス中に、間隙充填材料の反応性及び転化率が増加する。1以上のイオン注入プロセスは、間隙充填材料のWERRも改良する。図2A及び図2Bは、本明細書で説明される実施態様による、WERRへのイオン注入の影響を示すチャートである。一実施態様では、シリコンイオン種が、間隙充填材料の中へ注入される。図2Aは、WERRへのイオンエネルギーの影響を示すチャートを示している。図2Aで示されているように、2などの低いWERRが、両方のイオンエネルギーレベルに対して、100nm以下の浅い注入深さで達成されている。しかし、間隙充填材料の中へより深く(例えば、100nmより深く)低いWERRを達成するために、30keVなどのより低いイオンエネルギーと比較して、60keVなどのより高いイオンエネルギーが使用され得る。
別の一実施態様では、ヘリウムイオン種が、間隙充填材料の中へ注入される。図2Bは、WERRへのイオンエネルギーの影響を示すチャートを示している。図2Bで示されているように、WERRは、隙間がないエリアとオープンエリアの両方において、ヘリウムイオン種が注入された間隙充填材料に対して、低いままである。隙間がないエリアは、特定のエリア内に相対的により多くの特徴が配置されていることを指し、オープンエリアは、特定のエリア内に相対的により少ない特徴が配置されていることを指す。
図3A及び図3Bは、本明細書で説明される実施態様による、膜応力へのイオン注入の影響を示すチャートである。図3A及び図3Bで示されているように、Siイオン種とHeイオン種の両方に対して、膜応力、又は間隙充填材料の応力は、注入量が増加するに従って、より圧縮性になる。注入量は、平方センチメートル当たり約1×1015から約5×1017原子の範囲内にあり得る。
図4Aから図4Cは、本明細書で説明される実施態様による、ディッシングへのイオン注入の影響を示すチャートである。上述されたように、ディッシングは、後続のCMPプロセスの後で生じ、CMPプロセスの終了時点での間隙充填材料の最も低いポイントと間隙充填材料の最も高いポイントとの間の高さにおける差異(nm)として定義される。図4Aは、シリコンイオン種の注入がない場合と比較して、間隙充填材料内にシリコンイオン種が注入された場合の低減されたディッシングを示している。図4Aで示されているように、隙間がないエリアでは、CMPプロセス中に15%又は35%だけオーバーポリッシュされた間隙充填材料が、CMPプロセスに先立って間隙充填材料内にシリコンイオン種が注入されたときに、0nmのディッシングを有する。同様に、オープンエリアでは、CMPプロセス中に15%又は35%だけオーバーポリッシュされた間隙充填材料が、CMPプロセスに先立って間隙充填材料内にシリコンイオン種が注入されたときに、5nm未満のディッシングを有する。
図4Bも、ヘリウムイオン種の注入がない場合と比較して、間隙充填材料内にヘリウムイオン種が注入された場合の低減されたディッシングを示している。図4Bで示されているように、ピッチ比Aを有する特徴とピッチ比Bを有する特徴内の間隙充填材料に対して、隙間がないエリアとオープンエリアの両方において、ヘリウムイオン種が注入されていない場合と比較して、ヘリウムイオン種が注入された場合の間隙充填材料は、ディッシングがかなり低い。図4Cは、CMPプロセスの後の凹部プロセスの終了時点におけるディッシングを示しているチャートである。図4Cで示されているように、ディッシングは、ヘリウムイオン種が、隙間がないエリアとオープンエリアの両方の中の間隙充填材料内に注入された場合に低減されている。図4Aから図4Cで示されているように、CMPプロセス又は凹部プロセスの後で、間隙充填材料のディッシングは、1以上のイオン注入プロセスが、CMPプロセス及び凹部プロセスに先立って間隙充填材料に実行されたときに、約8nm未満である。
1以上のイオン注入プロセスは、摂氏0度未満から摂氏約500度までの範囲内の任意の適切な温度で実行され得る。ある実施態様では、間隙充填材料への損傷を最小化するために、イオン種は、摂氏約500度などの摂氏450度より高い温度で注入される。注入温度が、摂氏450度よりも高いときに、間隙充填材料内のイオン種の蓄積は低減され、間隙充填材料に対してより低い損傷をもたらすことが、分かってきた。図5は、本明細書で説明される実施態様による、異なる温度におけるイオン濃度へのイオン注入の影響を示すチャートである。図5で示されているように、間隙充填材料内のヘリウムイオン種の濃度は、摂氏300度で実行されたイオン注入プロセスと比較して、イオン注入プロセスが摂氏500度で実行されたときに、より低い。再び、注入されたイオン種のより低い濃度が、間隙充填材料に対する損傷を最小化する。したがって、ある実施態様では、1以上のイオン注入プロセスが、摂氏500度などの、摂氏450度よりも高い温度で実行される。
間隙充填材料内に注入されるイオン種は、シリコンイオン種、ヘリウムイオン種、水素イオン種、窒素イオン種、又はアルゴンイオン種などの他の不活性イオン種などの、任意の適切なイオン種であり得る。ある実施態様では、ヘリウムイオン種などの小さいサイズのイオン種が、間隙充填材料に対する損傷を最小化するために、間隙充填材料内に注入される。しかし、図4Aから図4Cで示されているように、シリコンイオン種が注入された間隙充填材料は、ヘリウムイオン種が注入された間隙充填材料よりも改良されたディッシングを示す。したがって、ある実施態様では、2以上のイオン注入プロセスが、間隙充填材料を処理するために実行される。
図6は、本明細書で説明される一実施態様による、基板上で間隙充填材料を処理するための方法600を示す。ブロック602では、第1のイオン種が、第1のイオンエネルギーで間隙充填材料内に注入される。一実施態様では、第1のイオン種が、ヘリウムイオン種である。第1のイオンエネルギーで、第1のイオン種が、第1の深さで間隙充填材料内に注入される。ブロック604では、第2のイオン種が、第2のイオンエネルギーで間隙充填材料内に注入される。一実施態様では、第2のイオン種が、シリコンイオン種であり、第2のイオンエネルギーが、第1のイオンエネルギー未満である。より低いイオンエネルギーで、シリコンイオン種は、第1の深さよりも浅い第2の深さで間隙充填材料内に注入される。結果として、後続のCMPプロセスの後で、ディッシングが改良される。何故ならば、シリコンイオン種が注入された間隙充填材料は、ヘリウムイオン種が注入された間隙充填材料と比較して、ディッシングにおけるより優れた結果を示すからである。第1及び第2のイオン種の深さは、CMPプロセスによって除去されるべき間隙充填材料の量によって決定され得る。第1及び第2のイオン種は、シリコンイオン種、ヘリウムイオン種、水素イオン種、窒素イオン種、又はアルゴンイオン種などの他の不活性イオン種などの、任意の適切なイオン種であり得る。ある実施態様では、第1のイオン種が、第2のイオン種と同じである。同じイオン種の2つの注入プロセスは、注入されたイオン種の均一性を改良する助けとなる。
図1に戻って参照すると、ブロック130では、1以上のイオン注入プロセスの後で、間隙充填材料がアニーリングされ得る。間隙充填材料のアニーリングは、堆積チャンバ、イオン注入チャンバ、又は急速熱処理チャンバなどの異なるアニーリング装置の何れかの中で実行され得る。アニーリングプロセスは、摂氏約500度の温度で実行され得る。水蒸気が、アニーリングプロセス中に供給されて、間隙充填材料を蒸気アニーリングし得る。一実施態様では、間隙充填材料が、二酸化ケイ素である。蒸気中の酸素原子は、Siダングリングボンドに結合されることによって、二酸化ケイ素の間隙充填材料の中へ有利に組み込まれ、二酸化ケイ素材料の酸素含有量を増加させ得ると、信じられている。蒸気アニーリングプロセスは、増加したSi‐O結合に対する酸素原子の利用可能性による二酸化ケイ素材料の収縮を妨げもし得ることが、考えられている。
ブロック140で示されているように、アニーリングプロセスの後で、CMPプロセスが、間隙充填材料に実行される。前述したように、CMPプロセスによってもたらされたディッシングは、プロセスフローにおける1以上のイオン注入プロセスの追加によって最小化される。
以上の記述は本開示の実施態様を対象としているが、本開示の基本的な範囲から逸脱することなく、本開示の他の実施態様及び更なる実施態様を考案してもよく、本開示の範囲は、下記の特許請求の範囲によって決定される。

Claims (13)

  1. 間隙充填材料を処理するための方法であって、
    第1のイオンエネルギーを使用して前記間隙充填材料内に、ヘリウムを含む第1のイオン種を注入すること、
    前記間隙充填材料内に前記第1のイオン種を注入した後に、第2のイオンエネルギーを使用して前記間隙充填材料内に、シリコンを含む第2のイオン種を注入することであって、前記第1のイオンエネルギーが前記第2のイオンエネルギーよりも大きい、注入すること、
    前記第2のイオン種に晒された後で前記間隙充填材料をアニーリングすること、及び
    前記間隙充填材料に化学機械研磨プロセスを実行することであって、前記間隙充填材料のディッシングが8nm未満である、実行することを含む、方法。
  2. 前記第1のイオン種と前記第2のイオン種が、同じイオン種を含む、請求項1に記載の方法。
  3. 前記第1のイオン種が、第1の深さで前記間隙充填材料内に注入される、請求項1に記載の方法。
  4. 前記第2のイオン種が、第2の深さで前記間隙充填材料内に注入され、前記第2の深さが前記第1の深さよりも浅い、請求項に記載の方法。
  5. 間隙充填材料を処理するための方法であって、
    第1のイオンエネルギーを使用して前記間隙充填材料内にヘリウムイオン種を注入すること、
    第2のイオンエネルギーを使用して前記間隙充填材料内にシリコンイオン種を注入することであって、前記第1のイオンエネルギーが前記第2のイオンエネルギーよりも大きい、注入すること、
    前記シリコンイオン種に晒された後で前記間隙充填材料をアニーリングすること、及び
    アニーリングされた前記間隙充填材料に化学機械研磨プロセスを実行することを含む、方法。
  6. 前記間隙充填材料をアニーリングすることが、蒸気アニーリングを含む、請求項に記載の方法。
  7. 前記ヘリウムイオン種が、摂氏450度よりも高い温度で注入される、請求項に記載の方法。
  8. 前記ヘリウムイオン種の注入が、平方センチメートル当たり1×1015から約5×1017原子の用量を有する、請求項に記載の方法。
  9. 前記シリコンイオン種の注入が、平方センチメートル当たり1×1015から約5×1017原子の用量を有する、請求項に記載の方法。
  10. 間隙充填材料を生成するための方法であって、
    基板上に間隙充填材料を堆積させること、
    前記間隙充填材料を処理することであって、
    第1のイオンエネルギーを使用して前記間隙充填材料内にヘリウムイオン種を注入することと、
    第2のイオンエネルギーを使用して前記間隙充填材料内にシリコンイオン種を注入することであって、前記第1のイオンエネルギーが前記第2のイオンエネルギーよりも大きい、注入することとを含む、処理すること、
    前記シリコンイオン種に晒された後で前記間隙充填材料をアニーリングして、アニーリングされた間隙充填材料を生成すること、及び
    前記アニーリングされた間隙充填材料に化学機械研磨プロセスを実行することを含む、方法。
  11. 前記ヘリウムイオン種が、第1の深さで前記間隙充填材料内に注入される、請求項10に記載の方法。
  12. 前記シリコンイオン種が、第2の深さで前記間隙充填材料内に注入され、前記第2の深さが前記第1の深さよりも浅い、請求項11に記載の方法。
  13. 前記ヘリウムイオン種が、摂氏450度よりも高い温度で注入される、請求項10に記載の方法。
JP2018520451A 2015-10-23 2016-09-29 先進cmp及び凹部流れのための間隙充填膜の修正 Active JP6955489B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562245577P 2015-10-23 2015-10-23
US62/245,577 2015-10-23
PCT/US2016/054453 WO2017069923A1 (en) 2015-10-23 2016-09-29 Gapfill film modification for advanced cmp and recess flow

Publications (3)

Publication Number Publication Date
JP2018531518A JP2018531518A (ja) 2018-10-25
JP2018531518A6 JP2018531518A6 (ja) 2018-12-13
JP6955489B2 true JP6955489B2 (ja) 2021-10-27

Family

ID=58557640

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018520451A Active JP6955489B2 (ja) 2015-10-23 2016-09-29 先進cmp及び凹部流れのための間隙充填膜の修正

Country Status (6)

Country Link
US (1) US10096512B2 (ja)
JP (1) JP6955489B2 (ja)
KR (1) KR20180061389A (ja)
CN (1) CN108352357B (ja)
TW (1) TWI706463B (ja)
WO (1) WO2017069923A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10366900B2 (en) * 2016-03-25 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10177026B2 (en) 2016-11-29 2019-01-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and fabrication method therefor
US20230335602A1 (en) * 2022-04-18 2023-10-19 Winbond Electronics Corp. Semiconductor structure and method of forming the same
WO2024019440A1 (ko) * 2022-07-22 2024-01-25 주식회사 에이치피에스피 반도체 소자의 제조 방법

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3015717B2 (ja) * 1994-09-14 2000-03-06 三洋電機株式会社 半導体装置の製造方法および半導体装置
JP3015763B2 (ja) * 1996-08-30 2000-03-06 三洋電機株式会社 半導体装置の製造方法
JP3063705B2 (ja) * 1997-10-14 2000-07-12 日本電気株式会社 半導体装置の製造方法
JP2001196373A (ja) * 2000-01-13 2001-07-19 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
KR100345064B1 (ko) * 2000-06-30 2002-07-20 주식회사 하이닉스반도체 디싱을 방지하기 위한 쉘로우트렌치분리 형성방법
US6593625B2 (en) * 2001-06-12 2003-07-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US20050054182A1 (en) * 2003-09-08 2005-03-10 Macronix International Co., Ltd. Method for suppressing boron penetration by implantation in P+ MOSFETS
JP4730581B2 (ja) * 2004-06-17 2011-07-20 信越半導体株式会社 貼り合わせウェーハの製造方法
JP2006278745A (ja) * 2005-03-29 2006-10-12 Matsushita Electric Ind Co Ltd 半導体装置の製造方法および半導体装置
US7456080B2 (en) * 2005-12-19 2008-11-25 Corning Incorporated Semiconductor on glass insulator made using improved ion implantation process
US7811891B2 (en) * 2006-01-13 2010-10-12 Freescale Semiconductor, Inc. Method to control the gate sidewall profile by graded material composition
JP5486781B2 (ja) * 2007-07-19 2014-05-07 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7767583B2 (en) 2008-03-04 2010-08-03 Varian Semiconductor Equipment Associates, Inc. Method to improve uniformity of chemical mechanical polishing planarization
JP2011018760A (ja) * 2009-07-08 2011-01-27 Yamaha Corp 半導体装置の製造方法
CN102789974B (zh) * 2011-05-16 2015-10-21 中国科学院微电子研究所 提高浅沟槽隔离化学机械平坦化均匀性的方法
US20130217243A1 (en) 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
US9159810B2 (en) * 2012-08-22 2015-10-13 Advanced Ion Beam Technology, Inc. Doping a non-planar semiconductor device
US9190498B2 (en) * 2012-09-14 2015-11-17 Varian Semiconductor Equipment Associates, Inc. Technique for forming a FinFET device using selective ion implantation
JP2014093478A (ja) * 2012-11-06 2014-05-19 Ps4 Luxco S A R L 半導体装置およびその製造方法
US9214377B2 (en) 2013-10-31 2015-12-15 Applied Materials, Inc. Methods for silicon recess structures in a substrate by utilizing a doping layer
CN105225937B (zh) * 2014-06-30 2018-03-30 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
CN104269376B (zh) * 2014-09-24 2017-03-15 上海华力微电子有限公司 浅沟槽隔离的制造方法
CN105870019A (zh) * 2015-01-22 2016-08-17 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法和电子装置
US9634141B1 (en) * 2015-10-14 2017-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Interlayer dielectric film in semiconductor devices

Also Published As

Publication number Publication date
JP2018531518A (ja) 2018-10-25
US10096512B2 (en) 2018-10-09
CN108352357B (zh) 2023-02-17
KR20180061389A (ko) 2018-06-07
WO2017069923A1 (en) 2017-04-27
TWI706463B (zh) 2020-10-01
US20170117157A1 (en) 2017-04-27
CN108352357A (zh) 2018-07-31
TW201727742A (zh) 2017-08-01

Similar Documents

Publication Publication Date Title
JP6955489B2 (ja) 先進cmp及び凹部流れのための間隙充填膜の修正
KR101032817B1 (ko) 포스트 pecvd 증착 uv 경화를 이용하여 실리콘 질화물 막들의 인장 스트레스를 증가시키는 방법
KR100950623B1 (ko) Pecvd 실리콘 질화물 막들의 압축 스트레스를증가시키는 방법
US10041167B2 (en) Cyclic sequential processes for forming high quality thin films
TWI363385B (en) Boron derived materials deposition method
KR100914570B1 (ko) 스트레스가 가해진 트랜지스터 구조물을 제조하는 집적화프로세스
KR102311036B1 (ko) 비정질 탄소 막들 내로의 이온 주입에 의한 고 에칭 선택성 하드마스크 재료의 개발
JP5455626B2 (ja) ボトムアップギャップ充填のための誘電堆積プロセスとエッチバックプロセス
US9029272B1 (en) Method for treating SiOCH film with hydrogen plasma
KR101352816B1 (ko) 실리카질 막의 제조 방법 및 이 제조 방법에 의하여 제조된실리카질 막이 부착된 기판
JP2018531518A6 (ja) 先進cmp及び凹部流れのための間隙充填膜の修正
US9570287B2 (en) Flowable film curing penetration depth improvement and stress tuning
KR20130118963A (ko) 실리콘 질화물 및 실리콘 산질화물의 플라즈마 처리
US20170148625A1 (en) Method for depositing insulating film on recessed portion having high aspect ratio
KR20160003226A (ko) 응력 조절을 위한 저온 유동성 경화
KR102616699B1 (ko) 갭 충진 애플리케이션들에서 실리콘 다이옥사이드 막의 원자층 증착에서의 심들을 제거하기 위한 시스템들 및 방법들
CN209119110U (zh) 半导体器件
Kim et al. Effect of wet treatment on stability of spin-on dielectrics for STI gap-filling in nanoscale memory
KR100861311B1 (ko) 반도체 소자의 소자분리막 형성방법
KR20110024546A (ko) 반도체 장치의 갭필 절연막 형성방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190924

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20201009

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201020

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210120

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20210316

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210713

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20210713

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20210726

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20210727

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210914

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20211001

R150 Certificate of patent or registration of utility model

Ref document number: 6955489

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150