JP6740394B2 - 基板搬送部 - Google Patents

基板搬送部 Download PDF

Info

Publication number
JP6740394B2
JP6740394B2 JP2019002875A JP2019002875A JP6740394B2 JP 6740394 B2 JP6740394 B2 JP 6740394B2 JP 2019002875 A JP2019002875 A JP 2019002875A JP 2019002875 A JP2019002875 A JP 2019002875A JP 6740394 B2 JP6740394 B2 JP 6740394B2
Authority
JP
Japan
Prior art keywords
substrate
door
seal
housing
pod
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019002875A
Other languages
English (en)
Other versions
JP2019071465A (ja
Inventor
バッブス ダニエル
バッブス ダニエル
ティー. キャベニー ローバート
ティー. キャベニー ローバート
シー. メイ ローバート
シー. メイ ローバート
エー. マズクザック クリストフ
エー. マズクザック クリストフ
Original Assignee
ブルックス オートメーション インコーポレイテッド
ブルックス オートメーション インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ブルックス オートメーション インコーポレイテッド, ブルックス オートメーション インコーポレイテッド filed Critical ブルックス オートメーション インコーポレイテッド
Publication of JP2019071465A publication Critical patent/JP2019071465A/ja
Application granted granted Critical
Publication of JP6740394B2 publication Critical patent/JP6740394B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67376Closed carriers characterised by sealing arrangements

Description

関連出願の相互参照
本願は、2013年1月22日に提出された米国仮特許出願第61/755,156号の非仮出願であって、その利益を主張する。当該出願の開示内容は、その全体が参照により本明細書に組み込まれる。
背景
1.分野
開示される実施形態の態様は概して基板搬送部に係り、より詳細には基板キャリア及びそのツールインタフェースに関する。
2.関連する発展の簡単な説明
半導体ウエハなどの基板は、一般的にツール間で搬送されるものであり、基板が半導体工場における制御されていない周囲環境に曝されず汚染物質から保護されるように、何らかの形のキャリアに収容される。通常、用いられるキャリアは、基板を様々な処理設備へと搬送するために、大気圧及び化学状態に保たれる。他の基板搬送ソリューションには、窒素などの不活性ガスを充填可能なキャリアがあるが、こうしたキャリアは気密封止されていないため、結局のところウエハを汚染に曝し得る。また、キャリアの内部容積が処理設備において非制御環境に曝され得る。従来のキャリアは水分及び酸素を吸引し得る材料で構成されており、水分又は酸素が懸案の汚染物質である場合には、ウエハの搬送又は収用中のキャリアの内部環境がウエハの汚染をもたらす恐れがある。不活性ガスを充填された従来のキャリアの場合であっても、キャリア内部の水濃度は内部キャリア表面から不活性ガス量に入り込む水分に起因して高くなり得るものであり、この水がウエハ表面を汚染し得る。いくつかの処理にとっては、あるツールから次のツールへと搬送されるときに、基板が水分、酸素、空中浮遊微粒子など、いかなる種類の汚染物質に曝されるのも望ましくないことに注意されたい。
米国特許出願第11/442,511号明細書 米国特許出願第11/178,615号明細書 米国特許第6,002,840号 米国特許第6641348号 米国特許第6501070号 米国特許第6815661号 米国特許第6784418号 米国特許第6765222号 米国特許第6281516号 米国特許出願第11/178,836号(米国特許出願公開第2007/0009345号)明細書 米国特許出願第12/123,391号明細書 米国特許第5,788,458号 米国特許第6,082,949号 米国特許第8,272,827号
開示される実施形態の以上の態様及び他の特徴を、添付の図面に関連して、以下の説明において明らかにする。
開示される実施形態の態様による処理ツールの概略図。 開示される実施形態の態様による処理ツールの概略図。 開示される実施形態の態様による処理ツールの概略図。 開示される実施形態の態様による処理ツールの概略図。 開示される実施形態の態様による処理ツールの一部の概略図。 開示される実施形態の態様による処理ツールの一部の概略図。 開示される実施形態の態様による処理ツールの一部の概略図。 開示される実施形態の態様による処理ツールの一部の概略図。 開示される実施形態の態様による処理ツールの一部の概略図。 開示される実施形態の態様による基板キャリアの概略図。 開示される実施形態の態様による基板キャリアの概略図。 開示される実施形態の態様による基板キャリアの概略図。 開示される実施形態の態様による基板キャリアの概略図。 開示される実施形態の態様によるキャリアの一部の概略図。 開示される実施形態の態様によるキャリアの一部の概略図。 開示される実施形態の態様による処理ツールの一部の概略図。 開示される実施形態の態様による処理ツールの一部の概略図。 開示される実施形態の態様による処理ツールの一部の概略図。 開示される実施形態の態様による処理ツールの一部の概略図。 開示される実施形態の態様による処理ツールの一部の概略図。 開示される実施形態の態様による処理ツールの一部の概略図。 開示される実施形態の態様による処理ツールの一部の概略図。 開示される実施形態の態様による処理ツールの一部の概略図。 開示される実施形態の態様による処理ツールの一部の概略図。 開示される実施形態の態様による処理ツールの一部の概略図。 開示される実施形態の態様による処理ツールの一部の概略図。 開示される実施形態の態様による処理ツールの一部の概略図。 開示される実施形態の態様による処理ツールの一部の概略図。 開示される実施形態の態様による処理ツールの一部の概略図。 開示される実施形態の態様による処理ツールの一部の概略図。 開示される実施形態の態様による処理ツールの一部の概略図。 開示される実施形態の態様によるフロー図。 開示される実施形態の態様による処理ツールの概略図。 開示される実施形態の態様による処理ツールの概略図。 開示される実施形態の態様による処理ツールの概略図。 開示される実施形態の態様による処理ツールの概略図。 開示される実施形態の態様による処理ツールの概略図。 開示される実施形態の態様による処理ツールの概略図。 開示される実施形態の態様による処理ツールの概略図。 開示される実施形態の態様による処理ツールの概略図。 開示される実施形態の態様による処理ツールの概略図。 開示される実施形態の態様による処理ツールの概略図。 開示される実施形態の態様による処理ツールの概略図。 開示される実施形態の態様による処理ツールの概略図。 開示される実施形態の態様による処理ツールの概略図。 開示される実施形態の態様による処理ツールの概略図。 開示される実施形態の態様による処理ツールの概略図。 開示される実施形態の態様による処理ツールの概略図。 開示される実施形態の態様による処理ツールの概略図。 開示される実施形態の態様による処理ツールの概略図。 開示される実施形態の態様による処理ツールの概略図。 開示される実施形態の態様による処理ツールの概略図。 開示される実施形態の態様による処理ツールの概略図。 開示される実施形態の態様による処理ツールの概略図。 開示される実施形態の態様による処理ツールの一部の概略図。 開示される実施形態の態様による処理ツールの一部の概略図。 開示される実施形態の態様による処理ツールの一部の概略図。 開示される実施形態の態様による処理ツールの一部の概略図。 開示される実施形態の態様によるフローチャート。 開示される実施形態の態様による製造設備の概略図。 開示される実施形態の態様による処理ツールの一部の概略図。 開示される実施形態の態様による処理ツールの一部の概略図。 開示される実施形態の態様によるフロー図。 開示される実施形態の態様によるフロー図。
詳細な説明
開示される実施形態の態様を図面を参照して説明するが、この開示される実施形態の態様は様々な形で体現され得ることが理解されるべきである。また、任意の適当な寸法、形状、あるいは要素又は材料の種類が用いられ得る。
図1A乃至1Dを参照すると、本明細書においてさらに開示されるように、開示される実施形態の態様を組み込んだ基板処理装置又はツールの概略図が示されている。
図1A及び1Bを参照すると、例えば半導体ツールステーション1090のような、開示される実施形態の一態様による処理装置が示されている。図面には1つの半導体ツールが示されているが、本明細書において説明される、開示される実施形態の複数の態様は、ロボットマニピュレータを採用するどんなツールステーション又は用途にも適用することができる。この例においては、ツール1090はクラスタツールとして示されているが、開示される実施形態の態様は、例えば図1C及び1Dに示される線形ツールステーションのような、任意の適当なツールステーションに適用されてもよい。そのようなツールステーションは、2006年5月26日に出願された「直線状に分布された半導体ワークピース処理ツール」と題される特許文献1に説明されており、その開示内容は全体が参照により本明細書に組み込まれる。ツールステーション1090は一般に、大気フロントエンド1000と、真空ロードロック1010と、真空バックエンド1020とを備えている。別の態様においては、ツールステーションは任意の適当な構成を有していてもよい。フロントエンド1000、ロードロック1010及びバックエンド1020の各々の構成要素は制御部1091に接続されていてもよく、該制御部は、例えばクラスタ型アーキテクチャ制御などの任意の適当な制御アーキテクチャの一部であってもよい。制御システムは、マスタ制御部と、クラスタ制御部と、自立した遠隔制御部とを有する閉ループ制御部であってもよい。そのような制御部は、2005年7月11日に出願された「拡張可能な動作制御システム」と題される特許文献2に開示されており、その開示内容は全体が参照により本明細書に組み込まれる。別の態様においては、任意の適当な制御部及び/又は制御システムが利用されてもよい。
ツールモジュールのうち1つ以上は、ツールの全体を通じて単数又は複数のワークピースを運搬するためのワークピース搬送部又はロボットを備えていてもよいことに注意されたい。
開示される実施形態の態様においては、フロントエンド1000は概して、ロードポートモジュール1005と、例えばミニエンバイロメント/機器フロントエンドモジュール(EFEM:equipment front end module)などのミニエンバイロメント1060とを備えている。ロードポートモジュール1005は、300mmロードポート、フロントオープニング又はボトムオープニングボックス/ポッド及びカセットに関するSEMIスタンダードE15.1,E47.1,E62,E19.5又はE1.9に準拠するボックスオープナ/ローダ・ツー・ツール・スタンダード(BOLTS:box opener/loader to tool standard)インタフェースであってもよい。別の態様においては、本明細書に記載のロードポートモジュール及びツールの他の構成要素は、200mm,300mm又は450mmウエハ、あるいは例えばより大型又はより小型のウエハ、長方形又は正方形のウエハなど、任意の他の適当な寸法及び形状の基板、あるいはフラットパネルディスプレイ、発光ダイオード又は太陽電池アレイ用のフラットパネルとインタフェースするように、さもなければその基板上で動作するように、構成されてもよい。別の態様においては、本明細書に記載の、例えば基板搬送部を備えるツールの構成要素は、本明細書に記載の半導体製造工程のうちいずれか1つ以上からの加熱ウエハを処理するよう構成されていてもよい。図1Aには2つのロードポートモジュールが示されているが、別の態様においては、任意の適当な数のロードポートモジュールがフロントエンド1000に組み込まれてもよい。ロードポートモジュール1005は、天井搬送システム、自動誘導搬送車、無軌道人力台車、有軌道無人搬送車から、あるいは任意の他の適当な搬送方法から基板キャリア又はカセット1050を受け取るように構成されていてもよい。ロードポートモジュール1005は、ロードポート1040を通じてミニエンバイロメント1060とインタフェースしてもよい。ロードポート1040が基板カセット1050とミニエンバイロメント1060との間での基板の通過を可能にしてもよい。ミニエンバイロメント1060は概して、搬送ロボット1013を備えている。開示される実施形態の一態様においては、ロボット1013は、例えば特許文献3に記載されているような軌道搭載ロボットであってもよい。該文献の開示内容は全体が参照により本明細書に組み込まれる。ミニエンバイロメント1060は、複数のロードポートモジュール間での基板搬送のための制御された清浄域を提供してもよい。
真空ロードロック1010は、ミニエンバイロメント1060とバックエンド1020との間に配置され、これらに接続されていてもよい。ロードロック1010は一般に、大気スロット弁及び真空スロット弁を備えている。これらのスロット弁によって、大気フロントエンドから基板をロードした後でロードロックを排気するため及び窒素などの不活性ガスで該ロックを排気する際に搬送チャンバ内の真空状態を維持するために用いられる、環境隔離を図ることができる。本明細書において用いられる排気という用語は、容量からのガスの除去であって、その除去がガスを通気すること、例えば弁を開けること、あるいはガスを容量の外に送り出すことにより行われる場合に対応することに注意されたい。一態様においては、排気にあたって、ガスは、容量内の所定の圧力を維持するように置換(例えばパージ)されてもよく、あるいは容量内に真空状態が形成されるように置換されないか又は部分的にのみ置換されてもよい。また、ロードロック1010は、基板の基準を処理のための所望の位置に整列させるアライナ1011を備えていてもよい。別の態様においては、真空ロードロックは、処理装置の任意の適当な位置に配置されていてもよく、任意の適当な構成を有していてもよい。
真空バックエンド1020は一般的に、搬送チャンバ1025と、1つ以上の処理ステーション1030と、搬送ロボット1014とを備える。搬送ロボット1014は、以下において説明するが、搬送チャンバ1025内にあってロードロック1010と様々な処理ステーション1030との間で基板を搬送してもよい。処理ステーション1030は、基板上に電気回路又は他の所望の構造を形成するための様々な成膜、エッチング、又は他の種類の処理を通じて、基板を加工してもよい。典型的な処理は、プラズマエッチング又は他のエッチング処理、化学蒸着(CVD:chemical vapor deposition)、プラズマ蒸着(PVD:plasma vapor deposition)、イオン注入などの注入、計測、急速加熱処理(RTP:rapid thermal processing)、ドライストリップ原子層堆積(ALD:atomic layer deposition)、酸化/拡散、窒化物の形成、真空リソグラフィ、エピタキシ(EPI)、ワイヤボンダ及び蒸発などの真空を使用する薄膜処理、あるいは真空圧力を使用する他の薄膜処理を含むが、これらに限定されない。処理ステーション1030は搬送チャンバ1025に接続され、基板が搬送チャンバ1025から処理ステーション1030へ、及びその逆で引き渡されることを可能にする。
次に図1Cを参照すると、線形基板処理システム2010の概略平面図が示されている。同図においては、ツールインタフェース部2012が、該インタフェース部2012が搬送チャンバ3018の長手軸Xに(例えば内側に)面しているが該長手軸Xからオフセットされるように、搬送チャンバモジュール3018に搭載されている。搬送チャンバモジュール3018は、先に参照により本明細書に組み込まれている特許文献1に記載されているとおり、他の搬送チャンバモジュール3018A,3018I,3018Jをインタフェース2050,2060,2070に取り付けることにより、任意の適当な方向に延長されてもよい。各搬送チャンバモジュール3018,3018A,3018I,3018Jは、処理システム2010の全体を通じて基板を搬送するとともに例えば処理モジュールPMに基板を搬入出するための基板搬送部2080を備える。理解されるであろうが、各チャンバモジュールは、隔離雰囲気、制御雰囲気、又は密閉雰囲気(例えばN2、清浄空気、真空)を保持することができるものであってもよい。
図1Dを参照すると、例示的な処理ツール410の概略正面図が示されており、これは例えば線形の搬送チャンバ416の長手軸Xに沿ったものであってもよい。一態様においては、図1Dに示されるように、ツールインタフェース部12が代表的に搬送チャンバ416に接続されていてもよい。この態様では、インタフェース部12がツール搬送チャンバ416の一端を規定してもよい。図1Dに見られるとおり、搬送チャンバ416は、例えばインタフェース部12とは反対端に、別のワークピース出入ステーション412を有していてもよい。別の態様においては、搬送チャンバに/からワークピースを挿入する/取り出すための他の出入ステーションが、ツール搬送チャンバ416の両端の間などに設けられてもよい。開示される実施形態の一態様においては、インタフェース部12及び出入ステーション412によってツールからのワークピースのロード及びアンロードを可能にしてもよい。別の態様においては、ワークピースは一端からツールにロードされ、他端から取り出されてもよい。一態様においては、搬送チャンバ416は1つ以上の搬送チャンバモジュール18B,18iを有していてもよい。各チャンバモジュールは、隔離雰囲気、制御雰囲気、又は密閉雰囲気(例えばN2、清浄空気、真空)を保持することができるものであってもよい。前述のように、図1Dに示される搬送チャンバ416を形成する搬送チャンバモジュール18B,18i、ロードロックモジュール56A,56B及びワークピースステーションの構成/配置は例示的なものに過ぎず、他の態様においては、搬送チャンバは任意の所望のモジュール配置で配設されたより多数又はより少数のモジュールを有していてもよい。一態様においては、ステーション412はロードロックであってもよい。別の態様においては、ロードロックモジュールは(ステーション412に類似の)端部出入ステーション間に配置されてもよく、あるいは(モジュール18iに類似の)隣接する搬送チャンバモジュールはロードロックとして動作するよう構成されてもよい。また、やはり前述したように、搬送チャンバモジュール18B,18iは、その内部に位置する1つ以上の対応する搬送装置26B,26iを有する。各搬送チャンバモジュール18B,18iの搬送装置26B,26iは、連携して搬送チャンバ内に線形分布ワークピース搬送部システム420を設けてもよい。別の態様においては、搬送チャンバモジュール18Bは、任意の適当な搬送カート(図示しない)が線形搬送チャンバ416の長さの少なくとも一部に沿って搬送チャンバモジュール18B間で移動できるよう構成されていてもよい。理解されるであろうが、搬送カート900は、該搬送カートに搭載された、本明細書中に説明される搬送装置と略同様の、任意の適当な搬送装置を備えていてもよい。図1Dに示すように、一態様においては、搬送装置26Bのアームは、以下においても詳述されるように、搬送部がウエハをピック/プレイス位置から迅速に交換できるようにする高速交換構成と称してもよいものを提供するように配列される。搬送アーム26Bは、従来の駆動システムと比較して簡略化された駆動システムから各アームに3(例えば、Z軸運動を伴う肩ジョイント及び肘ジョイントを中心とした独立回転)自由度を提供するのに適した駆動部を有していてもよい。別の態様においては、駆動部は、3自由度より多い又は少ない自由度をアームに提供してもよい。図1Dに見られるように、一態様においては、モジュール56A,56,30iは搬送チャンバモジュール18B,18iの間に介在して位置していてもよく、適当な処理モジュール、ロードロック、バッファステーション、計測ステーション又は任意の他の所望のステーションを定義してもよい。例えば、ロードロック56A,56及びワークピースステーション30iなどの介在モジュールは、それぞれ搬送アームと連携して、搬送チャンバの線形軸Xに沿って搬送チャンバの全長に亘りワークピースの搬送に影響を与え得る固定的なワークピース支持部/シェルフ56S,56S1,56S2,30S1,30S2を有していてもよい。一例として、ワークピースはインタフェース部12によって搬送チャンバ416内にロードされてもよい。ワークピースはインタフェース部の搬送アーム15によってロードロックモジュール56Aの支持部上に配置されてもよい。ワークピースは、ロードロックモジュール56Aにおいては、モジュール18B内の搬送アーム26Bによってロードロックモジュール56Aとロードロックモジュール56との間で移動されてもよく、同様に連続的に、(モジュール18i内の)アーム26iによってロードロック56とワークピースステーション30iとの間、ならびにモジュール18i内のアーム26iによってステーション30iとステーション412との間で移動されてもよい。この処理は、ワークピースを反対方向に移動させるために、全体的又は部分的に逆転させてもよい。したがって、一態様においては、ワークピースは軸Xに沿った任意の方向で、搬送チャンバに沿った任意の位置に移動されてもよく、搬送チャンバと連通する任意の所望のモジュール(処理用又はその他)へ/からロード/アンロードされてもよい。別の態様では、静的なワークピース支持部又はシェルフを備えた介在する搬送チャンバモジュールは、搬送チャンバモジュール18B,18iの間には設けられないかもしれない。開示される実施形態のそのような態様においては、搬送チャンバの全体に亘ってワークピースを移動させるために、隣接する搬送チャンバモジュールの搬送アームが、1つの搬送アームのエンドエフェクタから別の搬送アームのエンドエフェクタへと直接(又はバッファステーションの使用を通じて)ワークピースを引き渡してもよい。処理ステーションモジュールは、様々な堆積、エッチング、又は他の種類の処理を通じて基板を加工し、電気回路又は他の所望の構造を基板上に形成してもよい。処理ステーションモジュールは搬送チャンバモジュールに接続され、基板が搬送チャンバから処理ステーションへ、及びその逆で、引き渡されることを可能にする。図1Dに図示される処理装置に類似の一般的特徴を備えた処理ツールの適当な例は、先に参照により全体が組み込まれている特許文献1に記載されている。
次に図2A乃至2Eを参照すると、(例えば上述した処理ツールのような)処理ツール200の一部が図示されている。処理ツール200は、制御環境インタフェースモジュール201(本明細書においては「インタフェースモジュール」と称される)と、搬送チャンバ202と、ロードロック203と、ロードポートモジュール204とを備えていてもよい。ロードポートモジュールは、特許文献3乃至8ならびに2005年7月11日に出願された特許文献9に記載されているような、任意の適当なロードポートモジュールであってもよい。これらの文献の開示内容は全体が参照により本明細書に組み込まれる。一態様においては、ロードポートモジュールは、フロントオープニング一体ポッド(FOUP:front opening unified pod)、標準メカニカルインタフェース(SMIF:standard mechanical interface)ボックス、又は上述のような任意の適当な寸法及び形状を有する基板を保持するよう構成された任意の他の適当な可搬性の基板搬送/収容コンテナ211を支持及び連結するよう構成されていてもよい。
搬送チャンバ202は、任意の適当な内部雰囲気を保持するよう構成された任意の適当な搬送チャンバであってもよい。一態様においては、搬送チャンバ202はチャンバ内に真空を保持するよう構成されていてもよく、その場合、基板搬送/収容コンテナ211もまた内部に真空を保持し、搬送チャンバ202の真空環境と実質的に直接インタフェースするよう構成される。他の態様においては、搬送チャンバ202は、搬送チャンバ202内の雰囲気を、例えば大気環境と真空環境との間で循環させるよう構成されていてもよい。搬送チャンバ202は、例えばインタフェースモジュール201、別の搬送チャンバ、ロードロック203及び/又はロードポートモジュール204といった任意の適当な半導体処理モジュールに搬送チャンバを連結するための、1つ以上の密閉可能な開口202Sを備えていてもよい。また、搬送チャンバ202は、搬送チャンバ202に連結された基板処理モジュールのうち1つ以上の間で基板を搬送するための、基板搬送部202Tのような任意の適当な基板搬送部も備えていてもよい。一態様においては、基板搬送部202Tは、例えば少なくとも1つの上アーム202TUと、少なくとも1つの前アーム202TFと、少なくとも1つの基板220を保持するよう構成された少なくとも1つの基板ホルダ又はエンドエフェクタ202TEとを有する、選択的に従順な多関節型ロボットアーム(SCARA:selectively compliant articulated robot arm)であってもよい。他の態様においては、基板搬送部は、カエル脚式アーム、左右相称搬送アーム、スライドリンク搬送アーム、不均等リンク基板搬送アーム、又はある基板保持位置から別の基板保持位置へと1個以上の基板を搬送するよう構成された任意の他の適当な搬送部であってもよい。
ロードロック203は、例えば搬送チャンバ202と処理モジュール(図示しない)又はロードロック203に連結された別の搬送チャンバ(図示しない)との内部環境など、任意の2つの環境の間でロードロックの内部環境を循環させるよう構成された任意の適当なロードロックであってもよい。理解されるであろうが、ロードロックは、上述したものと類似の手法でロードロック203を任意の適当な基板処理モジュールに連結するよう構成された1つ以上の密閉可能な開口203Sを備えていてもよい。
図5A乃至8Cも参照すると、インタフェースモジュール201は、以下において詳述する制御環境基板ポッド210(本明細書においては「基板ポッド」と称される)のような任意の適当な基板キャリアとインタフェースするよう構成されていてもよい。インタフェースモジュール201は、後述するように、基板ポッド210とドッキングするとともにこれを開閉する特徴を備えていてもよい。基板ポッド210は底部開口式ポッドとして図示されており、インタフェースモジュール201は底部開口式ポッドを開閉するよう構成されたものとして示されているが、他の態様においては、ポッドは本明細書に記載の構成を備えた前部/側部開口式ポッド又は上部開口式ポッドであってもよく、インタフェースモジュールは、本明細書に記載の構成を備えて、ポッドを開閉するのに適当に構成されていてもよいことに注意されたい。インタフェースモジュール201は、1つ以上の内部チャンバを形成するハウジング201Hを有する枠を備えていてもよい。インタフェースモジュール201は単一の基板ポッドインタフェースモジュールとして示されているが、別の態様においては、後述するように、インタフェースモジュールは、ポッドインタフェースの各々に共通の1つの内部チャンバ又は各ポッドインタフェースポートに対して別々の内部チャンバを有し得る、多ポートインタフェースモジュールであってもよいことに注意されたい。一態様においては、内部チャンバは真空チャンバであってもよいが、他の態様においては、内部チャンバは任意の適当な環境を保持するよう構成されていてもよい。ハウジングは、本明細書に記載されているもののような他の半導体処理モジュール及び/又はハウジング201Hの内部の目視検査を可能にする1つ以上のビューポート201VPにインタフェースモジュール201を連結するよう構成された1つ以上の密閉可能な開口201Sを備えていてもよい。理解されるであろうが、ハウジング201H、ならびに本明細書に記載の他の半導体処理モジュールは、ハウジング201Hの単一の側面に、任意の適当な数の処理モジュールをハウジング201Hに連結するとともにインタフェースモジュールを複数の機器構成に統合する柔軟性を可能にするべく、垂直に積み重ねられて及び/又は水平に隣り合って配置された複数の開口を有していてもよい。
一態様においては、インタフェースモジュール201はポートプレート209とエレベータ730とを備え、該エレベータは基板ポッド210を開くよう構成されていてもよい。エレベータ730は、基板ポッド210を開閉するためにインタフェースモジュールドア209Dを例えば矢印799の方向に移動させるべく、例えばインタフェースモジュールドア209Dなど、ポートプレート209の少なくとも一部に連結されてもよい。エレベータは任意の適当なリニアアクチュエータ530Aを備えていてもよく、これはベローズ730Bなどの任意の適当な手法によってハウジング201Hの内部から隔離されて、粒子及び有機汚染がハウジング201Hの内部に進入するのを実質的に防止する。一態様においては、インタフェースモジュールドア209Dは、相対的に移動可能なポッドインタフェース209DPとエレベータインタフェース209DEとを備えていてもよい。例えば、ポッドインタフェース209DPは、弾性部材752などの任意の適当な手法によって、エレベータインタフェース209DEと離隔された関係に保持されてもよい。エレベータ730は、リニアアクチュエータ530Aが動作されるときにポートプレートが受動的に動作するように、ポッドインタフェース209DPに連結されてもよい。例えばエレベータの動作による、例えばポッドインタフェース209DPとエレベータインタフェース209DEとの間の受動的な相対移動は、ドアラッチピン520、ドアラッチアクチュエータ530、又は後述するポートプレートの任意の他の特徴の動作を引き起こしてもよい。他の態様においては、ポッドインタフェース209DPとエレベータインタフェース209DEとは統一された一体構造を有していてもよく、ポートプレートの特徴は任意の適当な手法で動作されてもよい。
一態様においては、ポートプレート209は、例えば、ポートシール590と、(例えばポートドア209Dと、基板をハウジング201Hの内部に搬入するためのインタフェースモジュール201の開口201X(図7)を形成するポート縁760Rとの間を密閉して、開口201Xを密閉する)ポートドアシール591と、ポッドクランプ500と、ドアラッチアクチュエータ530とのうち1つ以上を備えていてもよく、これらのうち1つ以上は、インタフェースモジュールドア209D上及び/又はポートプレート209の任意の他の適当な位置に配置されていてもよい。また、ポートプレート209は、ポッド存否センサ580(図5B)と、状態インジケータ610と、パージポート600と、1つ以上のドアラッチセンサ520と、インタフェースモジュールドア209Dのドア存否センサ700とのうち1つ以上も備えていてもよく、これらのうち1つ以上は、インタフェースモジュールドア209上及び/又はポートプレート209の任意の他の適当な位置に配置されていてもよい。
図3Dも参照すると、ポートシール590は、ポッド支持面760と基板ポッド210の底面210Bとの間の密閉を形成するべく、ドア209D又はポートプレート209のポッド支持面760のうち1つ以上に配設されてもよい。ポートシール590及び/又はポッドクランプ500は、少なくとも1つのシール590が例えば水平面内に配置され、別のシール(例えばポッドクランプ500)が基板ポッド210の周囲を囲む略垂直面内に配置される(例えばこれらのシールが略直交して配置された面内に配置される)重複シールシステムを形成してもよいことに注意されたい。一態様においては、ポートシール590は、ポートプレート209のドア209Dと基板ポッド210のドア210D(図3A乃至3C)との間のインタフェースを密閉するべく実質的にドア209Dの外周の周りに配設された任意の適当なシール590Aを備えていてもよい。ポートシール590は、ポッド支持面760と基板ポッド210のハウジングとの間のインタフェースを密閉するべくポッド支持面760の外周の周りに配設された任意の適当なシール590Bも備えていてもよい。他の態様においては、ポートシール590は、任意の適当な構成を有する任意の適当な数のシールを備えていてもよい。ポートシール590は、ドア209D、ポッド支持面760、ハウジング210H、又はドア210Dのうち1つ以上に、任意の適当な手法で凹設されるか、又は固定されていてもよい。
ポッドクランプ500は、例えば基板ポッド210が配置されるポートプレート209の凹部209R(図5A)の外周に沿って配設されてもよい。他の態様においては、ポートプレート209は、凹領域を有していなくてもよい。ポッドクランプ500は、基板ポッド210のハウジング210H(図3A乃至3C)をポートプレート209上に保持する任意の適当なクランプであってもよい。一態様においては、ポッドクランプ500は、基板ポッド210ハウジングの外周を把持してこれと密閉を形成するよう構成された膨張可能なクランプであってもよい。ポッドクランプ500は、任意の適当なポンプ(図示しない)などの任意の適当な手法によって膨張され得る。他の態様においては、ポッドクランプは、任意の適当な留め金、カム、レバー、又は任意の他の適当な解除可能な固体又は可動クランプ機構を備えていてもよい。
ドアラッチアクチュエータ530は、基板ポッドドア210Dのポスト310(図3A)のような対応する構成を把持する任意の適当な把持部を備えていてもよい(後述する)。一態様においては、ドアラッチアクチュエータ530は、ドアラッチアクチュエータをポスト310と位置合わせするピン530Pと、ポスト310を把持する1つ以上のフィンガ530Lとを備えていてもよい。一態様においては、フィンガ530Lはピン530Pとポートプレート209の表面とのうち1つ以上に枢着されていてもよく、したがって、以下において詳述するように、ピン530Pが矢印798の方向に移動されるにつれてフィンガ530Lが回転し、ポスト310を把持するとともに基板ポッドドアをインタフェースモジュールドア209Dに対して移動させて基板ポッドドアとインタフェースモジュールドア209Dとの間に密閉を形成する。また、ドアラッチアクチュエータ530の作動のため及び/又はフィンガ530Lがポスト310を把持した際に検知するための任意の適当なセンサを提供するべく、インタフェースモジュールドア209Dには導管通過孔770が形成されてもよい。他の態様においては、ドアラッチアクチュエータ530はエレベータ730の一部であってもよく、したがって、リニアアクチュエータ530Aがエレベータを移動させるとき、フィンガ530Lは、例えばピン530Pの線形移動などの任意の適当な手法で回転されてポスト310を把持する。
ポッド存否センサ580(図5B)は、任意の適当な手法でポートプレート209上の基板ポッド210の存在を検知するための送信機580T及び受信機580Rを備えていてもよい。ポッド存否センサ580は別々のハウジング内に送信機580T及び受信機580Rを有するものとして図示されているが、他の態様においては、送信機及び受信機は共通のハウジング内に配設されてもよい。一態様においては、ポッド存否センサは、反射型センサ、スルービームセンサ、又は任意の他の光学、容量、又は非接触センサなどの非接触型センサであってもよい。さらに他の態様においては、ポッド存否センサ580は、例えば接触型センサのような任意の他の適当な種類のセンサであってもよい。ドア存否センサ700は、例えば任意の適当な手法でインタフェースモジュールドア209Dに対する基板ポッド210ドアの存在を検出するために、例えばインタフェースモジュールドア209D上に配設されてもよい。また、1つ以上のドアラッチセンサ520が、後述するように基板ポッドハウジング210H(図3A乃至3C)から基板ポッドドア210D(図3A乃至3C)を受動的にラッチ解除するため、及び/又はラッチが解除されたときに検知するために、例えばインタフェースモジュールドア209D上に設けられてもよい。
状態インジケータ610は、インタフェースモジュール201上の任意の適当な位置に配設されてもよい。一態様においては、状態インジケータは、ポートプレート209の表面上に配設されてもよい。図6Bに見られるように、状態インジケータ610は、インタフェースモジュール201の動作状態を例えばオペレータに伝達する1つ以上の可視インジケータ610A,610B,610C,610D,610Eを備えていてもよい。状態インジケータ610ならびにインタフェースモジュール201の様々なセンサ及び駆動部は、制御部1091(図1A)のような1つ以上の制御部に動作可能に接続されてもよいことに注意されたい。制御部は様々なセンサ及び駆動部から信号を受信し、対応する信号を状態インジケータ610に提供して、視覚的な状態表示をもたらしてもよい。
インタフェースモジュール201は、1つ以上のパージポート600も備えていてもよい(図6A及び8C)。この態様において、パージポート600はポッド支持面760上に配置されて、後述するように基板ポッド210の気体/流体容器又はチャンバ390(図3C)をパージする(例えば排気する)か、さもなければ充満させる(例えば再充填又は充填する)。一態様においては、気体容器390のパージは、インタフェースモジュール201及び/又は基板ポッド210のうち1つ以上を真空までポンプダウンすると自動的に実施されてもよい。他の態様においては、気体容器390を排気すること又は充満させることは、任意の適当な時に実施されてもよい。また、インタフェースモジュール201は、例えばポートプレート209/ポートプレートドア209Dと基板ポッド210との間の間隙又は密閉領域を洗浄もしくはパージするための他のフローポートも備えていてもよい。例えば、ポートプレート209は、例えばポートプレート209と基板ポッド210との間の間隙及び/又はインタフェースモジュールドア209Dと基板ポッド210のドアとの間の間隙を洗浄するための間隙洗浄供給ポート810(図8B)及び間隙洗浄排出ポート811(図8B)を備えていてもよい。これらの間隙は、インタフェースモジュールドア209が開かれる前に、窒素又は他の清浄乾燥空気などの任意の適当な気体で洗浄されてもよい。ポートプレート209と基板ポッド210との間に閉じ込められた容量及び/又はインタフェースモジュールドア209Dと基板ポッド210のドアとの間の間隙もまた、基板ポッドドア210Dの取り外しのために、例えば基板ポッド210の内圧と等しい真空圧力までポンピングされてもよい。これは微粒子の除去を容易にし、真空状態で基板ポッド210を開くことにより、微粒子生成が基板ポッド210内において基板の下流となり、インタフェースモジュール201の排出ポート811を通じて排出され得る。図8Dはインタフェースモジュールハウジング201Hの底部の概略図である。見て取れるように、パージポート600、洗浄供給ポート810、及び洗浄排出ポート811のための通過孔が存在している。ハウジング201Hの内部をポンプダウンするための真空粗引き弁877及び通気弁878も設けられてもよい。
インタフェースモジュールドア209Dは、ポートプレート209に対して基板ポッド210を所定の位置に位置合わせする1つ以上の運動学的連結ピン510,511,512も備えていてもよい。運動学的連結ピン510,511,512は、基板ポッド210の任意の適当な嵌合/配置機構301,302,303とインタフェースするよう構成された任意の適当なピンであってもよい。運動学的連結ピン510,511,512は、後述するように運動学的連結ピン510,511,512がポッドインタフェース209DPに対して移動し得るように、例えば任意の適当な軸受710及びシール711など、任意の適当な手法でドア209Dに固定されていてもよい。
次に図3A乃至4Bを参照すると、上述のように、基板ポッド210はハウジング210Hとドア210Dとを備えている。ハウジング210Hは圧力容器を形成してもよく、例えば円筒形又は円形の断面などの任意の適当な形状及び寸法を有していてもよい。また、ハウジング210Hの上面210Tは、例えばフープ応力を利用して必要なハウジング210Hの壁厚及び基板ポッド210の重量を減少させるために、ドーム状又は略球状であってもよい。一態様においては、基板ポッド210は、例えば、(例えばインタフェースモジュール201を介して)接続される処理ツールの任意の適当な部分と共通の雰囲気を有し得る環境を持った内部350を有する。基板ポッド210と処理ツールとの間にインタフェースモジュール201を介して形成された通路又はトンネルはクリーントンネルと称されてもよく、これは、「側部開口部一体化ポッド」と題され2008年5月19日に提出された特許文献11に記載されているように、基板ポッドを処理ツールに実質的に直接接続する。該文献の開示内容は全体が参照により本明細書に組み込まれる。例えば、クリーントンネルは、基板ポッド210の内部環境の内部から、基板ポッド210とインタフェースモジュール201との間のインタフェースを介し、インタフェースモジュール及び処理ツールの処理部の全範囲にわたって、(処理ツール及びインタフェースモジュールの全範囲と)同じ清浄度を提供する。クリーントンネルは、(基板ポッドがインタフェースモジュールから取り外されるときなどには)閉止されてもよいし、クリーントンネルを劣化させることなく自在に開かれてもよい。一態様においては、例えば図2A,2B及び11乃至30に示されるように、インタフェースモジュールにインタフェースする基板ポッドは、インタフェースに先立って基板ポッド内の環境とは無関係に基板ポッドを処理ツールと直接的に一体化できるように配置されてもよい。よって、例えば図2A,2B及び11乃至30に図示する、開示される実施形態の態様においては、基板ポッド210は、異なる又は類似でない環境(例えば低真空に対して高真空、清浄空気に対して不活性ガス環境、又は清浄空気に対して真空)を有する処理ツールとインタフェースされ直接一体化されてもよく、その後、異なる類似でない環境のツール間で直接搬送され、再びそれらのツールとインタフェースされ一体化されてもよい。したがって、制御環境を有するあるツールの基板は、処理ツールの任意の適当なロボットによって直接、ツールの処理部からクリーントンネルを通って基板ポッド210内へと搬送されてもよく、基板ポッド210は、非類似の/異なる制御環境を有すると思われる別のツールのインタフェースモジュールへと直接搬送されインタフェースされてもよく、基板は、任意の適当なロボットによって、他のツール内に画定されたクリーントンネルを通って、該他の処理ツール内の制御環境を劣化させることなく、処理部へと直接搬送されてもよい。実際には、インタフェースモジュールにインタフェースする基板ポッドは、基板ポッド210とともに、外部ロードロック又はキャリアロードロックを画定すると考えられてもよい。一態様においては、インタフェースモジュールポートプレートは、任意の適当なアクチュエータ及び/又は機構によって適所に上昇、下降、回転、又は枢動可能な蓋を内蔵していてもよい。蓋は、インタフェースモジュール環境の隔離及び制御を提供し、基板ポッドが存在しないときに、インタフェースモジュールが制御環境通過孔として作用できるようにする。一態様においては、ポートプレート又はドアは、ウエハ積層体がインタフェースモジュールの内部容量内まで降下された後で、回転してもよい。積層体は、嵌合搬送ロボットに一致するよう回転可能であり、基板ポッドの自動化が所要のウエハ積層体の配向以外の配向でポッドをロードできるようにする。
一態様においては、ハウジング210Hは5個の基板を収容するよう構成されていてもよく、他の態様においては、ハウジング210H’は25個の基板、又は例えば3個の基板、あるいはまた1個の基板といった、任意の他の適当な数の基板を収容するよう構成されていてもよい。ハウジングは、例えば高構造率材料、金属又は金属合金(例えばアルミニウム、ステンレス鋼、チタニウム)、プラスチック、合成物などの任意の適当な材料、又はこれらの組み合わせから構成されてもよく、ハウジングの開口350Xが(例えば基板ポッド210の搬送又は収容中に)ドア210Dによって密閉されているとき及び/又はハウジング210Hがインタフェースモジュール201に連結されているときに例えば真空圧力環境又は不活性ガス環境に維持される内部空間350を形成してもよい。ハウジング210は、内部が例えば真空圧力であるときにハウジングに及ぼされる外部負荷を支持するために、構造的に(補強リブ等を用いるなどの)任意の適当な手法で構成される。上述のように、ハウジング210Hは気体(又は他の流体)容器又はチャンバ390も備え得る。他の態様においては、気体チャンバ390はドア210Dに配設されていてもよい。さらに他の態様においては、ハウジング210Hとドア210Dとの両方が気体チャンバ390を備えていてもよい。チャンバ390は、例えば窒素又は他の不活性ガスといった任意の適当な気体を保持するよう構成されていてもよい。チャンバ390又はその任意の部分は、ハウジング210Hと一体に形成されていてもよく、あるいは任意の適当な手法でハウジングに連結されていてもよい。チャンバ390は、例えば基板ポッド210の搬送又は収容中、あるいは基板ポッド210がインタフェースモジュールでドッキングされている間に、充満又は補充されてもよい。例えば、ステーション(例えばインタフェースモジュール、収容ステーション、及び/又は搬送システムステーション)の適当な嵌合ポートに嵌合された基板ポッド210の外部ポートを用いて、チャンバ390への気体/流体の補充供給を提供することができる。場合によっては、そのようなシステムは、チャンバ390の容量を縮小可能とすることができる。なぜなら、あるステーションから次のステーションへの移動にあたって予期される搬送時間及びそれに付随する予期される漏出速度に必要な量の気体/流体だけが保持されればよいためである。したがって、チャンバ390の容積及びひいては基板ポッドの設置面積は、縮小又は最小化され得る。一態様においては、チャンバ390は、例えば貫通ポート600などのインタフェースモジュール201とインタフェースされたときに充満されてもよい。チャンバ390は、ハウジング開口350Xの外周の周りに延出していてもよく、例えばハウジング210Hとドア210Dとの間でチャンバ390からシールインタフェース342へと延びる通路340を通じて(図3D)内部空間350の中に放出され得る任意の適当な量の気体を保持していてもよい。通路340は、チャンバ390からの所定の方向の気体/流体の流れ、ならびにチャンバ390内の気体/流体の補充を可能にするために、逆止弁又は任意の他の適当な弁を備えていてもよい。一態様においては、通路340を通る気体/流体の流れは、ポッド210がインタフェースモジュール201にドッキング又はインタフェースされたときには、遮断されてもよい。他の場合においては、通路340を通る気体/流体の流れは、ポッド210がインタフェースモジュール201にドッキング又はインタフェースされたときには遮断されなくてもよく、気体/流体がチャンバ390から空にされてもよい。そのような場合には、チャンバ390は、インタフェースモジュール201から取り外される前に気体/流体を充填されてもよい。いくつかの態様においては、任意の所与の充満の際にチャンバ390に充満される特定の気体/流体及び/又はチャンバ390内に充満される気体/流体の圧力は、処理フローの各ステップにおける装置の処理要件に基づいて選択される。例えば、チャンバ390内に充満される気体/流体は、基板ポッド210内へとロードされる又はロードされている基板との適合をとるよう選択され得る。いくつかの態様においては、基板ポッド210の第1の搬送のために第1の気体/流体がチャンバ390内に充満され、そのチャンバ390内の第1の気体/流体はインタフェースモジュール201とドッキングすると、あるいはドッキングの後で、放出され、基板ポッド210の第2の搬送のために第2の気体/流体がチャンバ390内に充満される。
通路340及びチャンバ390は重複システムを提供してもよいことに注意されたい。その場合、基板ポッド201が漏出を経験していてボード上のチャンバ圧力(例えば内部350内の圧力)が所定の低圧力閾値を下回ると、チャンバ390からの気体/流体の流れが基板ポッド210の内部350へと流入して、通路内の弁を作動させ、あるいはチャンバから通路340を介して気体/流体を放出するなどする。この重複システムは、気体/流体が漏出時にのみ放出されるもので、チャンバ390の容積の低減を引き起こし得るため、基板ポッドの設置面積もまた縮小又は最小化される。別の態様においては、ボード上のチャンバ圧力(例えば内部350内の圧力)が所定の低圧力閾値を下回った場合には、インタフェースモジュール、収容ステーション、又は搬送システムの適当な嵌合ポートに嵌合された外部ポート内において弁が作動され、チャンバ390から内部350への通路340を介した気体/流体の連続的な供給を提供するか、又はチャンバ390内の気体/流体を特定の圧力まで補充(例えば充満又は再充填/充填)することができる。
チャンバ390及び通路340内の気体(又は他の流体)は、ドアシール351,352のうち1つ以上を実質的に包囲する流体バリアシールを形成してもよいことに注意されたい。流体バリアシールは、内部350内の雰囲気とは異なる雰囲気を有していてもよく、後述するように任意の適当な手法で内部350内の雰囲気から隔離されていてもよい。一態様においては、流体バリアシールは、内部350の雰囲気と基板ポッド210の外部の雰囲気との間に配設された加圧シールであってもよい。上述のように、漏出の場合には、流体がチャンバ390から通路340を通じて基板ポッド210の内部空間350内へと引き込まれる。これは、製造工場の周囲空気(例えば微粒子、水分、又は酸素といった汚染物質を含み得る)が内部空間350に引き込まれるのを実質的に防止する。いくつかの態様においては、チャンバ390内に充満される気体/流体は、処理フローの各ステップにおける装置の処理要件に基づいて選択される。一例として、通常の状態では、シール351,352が良好な状態(例えば漏出していない)であれば、流体はチャンバ390及び通路340内に留まり、内部空間350には進入しない。
一態様においては、ハウジング210Hは、ハウジングの外周の周りに、例えば基板ポッド210をインタフェースモジュール201上に保持するためのインタフェースモジュール201のポッドクランプ500のような任意の適当なクランプ装置(例えば固体クランプ、可動機械式クランプなど)とインタフェースするのに適当な任意の構成を備えていてもよい。基板ポッド210のインタフェースモジュール201へのクランプがポートシール590の圧縮のための力を提供してもよいことに注意されたい。また、ハウジングは、基板ポッド210の自動及び/又は手動搬送を容易にするために、天井搬送ハンドル349などの任意の適当なハンドルも備え得る。
一態様においては、ドア210Dは、上下に重ねて配置された1つ以上の基板保持支持部210RS、例えばラック210Rを備える。ラック210Rは、ドア210Dがハウジング210Hから取り外されたときに基板がハウジング210Hから取り外される(例えば基板がドアとともに搬送される)ように、任意の適当な手法でドア210Dに取り付けられてもよく、あるいはドア210Dと一体に形成されていてもよい。ラック210R及び該ラックの基板と接触する部分は、例えばPEEK(ポリエーテル・エーテル・ケトン)又はBKM材料などの任意の適当な材料から構成されていてもよい。一態様においては、基板保持支持部210RSは、各支持部に保持されている基板が抑制されるように、後部止め210RPを備えていてもよい。別の態様においては、基板保持体210RRがハウジング210Hに取り付けられ、例えばインタフェースモジュール201によって作動されてもよく、この保持体の作動が基板をラック210R内の各支持部210RSに保持する。基板保持体210RRは、後部止め210RPに対して個々の基板を押し付け又は付勢する力をラック210R内の個々の基板に提供して、基板ポッド210(及びその内部の基板)の搬送中に基板ポッド210内で基板が移動するのを実質的に防止してもよい。他の態様においては、保持体の作動は垂直であってもよく、円錐形の支持体内に納めるべく基板を押し付け又は付勢する垂直の力をラック内の個々の基板に提供してもよい。上述のように、ドア210Dの外部表面は、インタフェースモジュール201の運動学的ピン510,511,512とインタフェースする1つ以上の適当な嵌合/位置合わせ(例えば運動学的連結)機構301,302,303を備えていてもよい。ドアの外部表面は、ドアラッチアクチュエータ530とインタフェースするよう構成されたポスト310も備えていてもよい。
上述のように、ハウジング210Hの内部空間350は、ドアがハウジング210Hの開口350Xを密閉するときには、真空圧力に保持され得る。一態様においては、ドア210Dは、例えばハウジング210Hの内部の真空と密閉された基板ポッド210の外部の大気(又は他の)圧力との圧力差によって、ハウジング210Hに対して密閉状態に保持されてもよい。この圧力差が力を提供して、ラック210R内に保持された基板の重量が支持されるとともに例えばドア210Dとハウジング210Hとの間に配設されたシール351乃至353(後述する)などのシールが圧縮されてもよい。一態様においては、基板ポッド210は、密閉されたハウジング210H内の真空が失われた場合にドア210Dがハウジング210Hから分離するのを実質的に防止するために、ドアラッチ400(図4A及び4B)を備えていてもよい。この態様においては、ドアラッチ400はドア210Dをハウジング210Hに対して密閉するためには用いられなくてもよく、むしろドアラッチ400は単に、ハウジング210H内の圧力損失が発生した場合にハウジング210Hに対するドア210Dの位置を保持するに過ぎないことに注意されたい。他の態様においては、ドアラッチ400は、任意の適当な手法でドア210Dをハウジング210Hに対して密閉するために用いられてもよい。一態様においてはドアラッチ400はボールロック機構であってもよいが、他の態様においてはドアラッチ400は任意の適当なラッチであってもよい。ここで、ドアラッチは、プランジャ401Pと、少なくとも一部がドア210D内に配設された1つ以上のボール401Bとを備える。プランジャは通路402P内を矢印410の方向に移動可能であり、一方ボール104Bは(通路402Pを横切る)通路402B内を矢印411の方向に移動可能である。プランジャ401Pはボール接触領域401PBと凹領域401PRとを備えており、弾性部材などの任意の適当な手法で矢印410Bの方向に付勢されてもよい。例えば図4Bに示すように、付勢位置又はロック位置にあるときには、プランジャ401Pはボール接触領域401PBでボール401Bに接触してボールを矢印411の方向に付勢するので、少なくとも1つのボールがハウジング210H内に配設された凹部210LRの内部に配置されてドア210Dをハウジング210Hにロックする。例えば図4Aに示すように、引込位置又はロック解除位置にあるときには、ボールはプランジャ401Pの凹領域401PRの中へ且つ凹部210LRの外へと移動可能であり、ハウジング210Hからドア210Dをロック解除する。一態様においては、キャリアがインタフェースモジュール上に適切に配置されているか、ドアが以前のステーションに適切に設置されたか、あるいはドアがパッドの再ロード中に適切に交換されているかを検出するために、ドアラッチにセンサが配設されてもよい。例えば、ボールロックプランジャの上昇の程度を利用してこの情報を得ることができる。
ドア210Dとハウジング210Hとの間のシール351,352は真空シールであってもよく、重複配置されていてもよい。気体容器シール353も設けられてもよい。一態様においては、シール352は、シールの片側に内部350の雰囲気を有するインナーシールであってもよい。気体容器シール353は、シール353の片側に外部雰囲気(例えば基板ポッド210の外部の雰囲気)を有しシール353の反対側に流体バリアの雰囲気を有するアウターシールであってもよい。シール351は、シール351の片側に流体バリアシールの雰囲気を有する中間シールであってもよい。シール351とシール352との間に形成された領域は、内部350の雰囲気又は流体バリアシールの雰囲気のうち1つ以上と同一又は異なり得る任意の適当な雰囲気を有する空洞を形成してもよい。中間シール351は流体バリアシールをインナーシール352から分離してもよく、一方、シール351,352のうち1つ以上は流体バリアシールを内部350から分離してもよい。シール351乃至353はドア210D内に凹設されたものとして示されているが、他の態様においては、これらのシールは、凹設されるか、あるいはドア210D及びハウジング210Hのうち1つ以上に取り付けられてもよいことに注意されたい。また、シール351乃至353は円形の形状(例えば円形シール形状)を有していてもよいが、他の態様においては、これらのシールは任意の適当なシール形状を有していてもよいことにも注意されたい。シール351,352の重複は、損傷したシール及び/又はドア210Dの嵌合表面とハウジング210Hとの間の確実な接触を妨げ得るシール領域内の微粒子からの保護を提供してもよい。シール351,352は2つの異なる平面P1,P2内に配置されてもよく、シール表面は、例えばシール表面を損傷から保護するために、凹んでいてもよい。平面P1,P2は基板ポッド210の外周を実質的に包囲する別個の水平面として示されているが、他の態様においては、シールのうち1つ以上が垂直面内に配置されていてもよい。シール351,352の別々の面への配置は、シール351,352のうち1つ以上に、インナーシール352を引き裂くかあるいは損傷するかもしれない他の物体又はウエハロボットとの衝突からの保護を提供し得ることに注意されたい。気体容器シール353は、ポッド210の中心線CLに対して、シール351,352のうち1つ以上よりも外側に配置されていてもよい。両シール351,352が機能しない場合には、気体が気体容器390から内部空間350内へと放出される際に、気体容器シール353が内部空間350内の清浄環境を提供してもよい。いくつかの態様においては、シール353はシール351及び352よりも可撓性であってもよく、ドアがハウジングに嵌合されているときにハウジング上の嵌合シール面との初期接触を提供するように、適当な手段で位置合わせされてもよい。そのような態様においては、シール353が適当な可撓性を提供して真空シールを開始し、ポッドドア上の真空力がシール351,352に圧縮力を提供できるようにするとともに、シール351及び352により可撓性の低いシール材料を用いることを可能にしてもよい。これは真空用途には望ましいであろう。理解されるであろうが、内部空間350及び/又は気体容器390内の圧力を監視するために任意の適当なセンサがポッド210上に積載され任意の適当な位置に配置されてもよく、漏出又は圧力損失が検出された場合には(例えば図1Aの制御部1091を通じて)オペレータに警告又は他の警報を提供してもよい。漏出が検出された場合には、制御部1091又はオペレータがポッド210を判断のための所定の位置に向け、及び/又はポッド210をステーションに向けて、チャンバ390内に気体/流体を補充してもよい。
シール351乃至353の各々の嵌合表面は凹んでいてもよいことに注意されたい。シール351乃至353がドア210D上に配置されている一態様においては、ハウジング210Hは、シール351乃至353がハウジング210Hとインタフェースする凹領域351R乃至353R(図3D)を備えていてもよい。1つ以上のシールがハウジング210H内に配置されている他の態様においては、ドア210Hが凹領域を備えていてもよい。さらに他の態様においては、1つ以上のシール351乃至353及び凹領域351R乃至353Rがドア210D及びハウジング210Hのうちいずれか一方に適当に配置されていてもよい。
次に図4A,4B,7,9A乃至9F及び10を参照して、ポッド210のインタフェースモジュール201への例示的なドッキング又はロードを説明する。ポッド210はインタフェースモジュール201へと移動され、任意の適当な手法で運動学的ピン510乃至512と嵌合される(図10、ブロック5000;図9A及び9B)。ポッド存否センサ580(図5B)が、例えば制御部1091(図1A)によって、ポッドを存在しているものとして登録してもよい。上述のように、運動学的ピン510乃至512は移動可能にエレベータインタフェース209DEに取り付けられ得るので、エレベータ730が下降されると、ハウジング210Hがポートシール590(図5A)に接触するように、運動学的ピン510乃至512は例えばポッドインタフェース209DPに対して引き込まれる(図10、ブロック5001;図9C)。ポッドハウジング210Hは、例えばポッドクランプ500などの任意の適当な手法でポートプレート209にクランプされてもよい(図10、ブロック5002)。少なくともポッドドア210Dとポッドインタフェース209DP(例えばインタフェースモジュールドア)との間の空間(例えばポートとドアとの隙間)が排気又はポンプダウンされてもよい(図10、ブロック5003)。一態様においては、基板ポッドがインタフェースモジュール201に完全にドッキングされていないときなどには、清浄乾燥空気の低圧流がポートとドアとの隙間を通って分散されて、シール590A及び590Bの全域を洗浄してもよい。この清浄乾燥空気の低圧流は、製造工場の周囲環境から微粒子がシール上に定着して漏出を引き起こすのを防止し得る。さらに、正圧が、粒子がポートとドアとの隙間内に定着し、その後インタフェースモジュール201及び/又は基板ポッド210の内部の清浄真空空間に堆積されるのを実質的に防止する。周囲の工場環境への乱流を回避するため、清浄乾燥空気の流速は低くてよい。基板ポッドが運動学的ピン上に存在しているとき、ポートドアと基板ポッド底面との間に形成される水平の隙間は清浄乾燥空気流を水平にシール全域に向けることができ、また、基板ポッドが下降するにつれてこの隙間は減少し、それにより微粒子を除去するための清浄乾燥空気速度が増してもよい。他の態様においては、ハウジング201Hの内部チャンバ(図5A)はポンプダウンされてもよい。ポッドドア210Dは取り外されてもよく(図10、ブロック5004;図9D乃至9F)、ここでエレベータ730(図7)は、エレベータインタフェース209DEの移動がフィンガ530Lにポッドドア210Dのポスト310をクランプさせるように、さらに下降されてもよい。また、エレベータインタフェース209DEの移動は、プランジャ401Pが引込位置(図4A)へと移動されて上述のようにロック401を解除するように、ドアラッチピン520にロック401のプランジャ401Pを係合させてもよい。また、(上述した)基板保持体が離脱されてハウジング210Hから基板を解放してもよい。この処理にあたって、気体容器390は任意の適当な手法で密閉されて内部に気体/流体を保持してもよく、あるいは容器390内の気体が例えばインタフェースモジュール201の内部又は基板ポッドの内部へと排出され、容器390が後から、例えば基板ポッドドアを後で閉じる際に、気体/流体を再充満されてもよい。ロック401がロック解除されポスト310がドアラッチ機構530により把持されるとポッドインタフェース209DP及びエレベータインタフェース209DEがハウジング210Hからポッドドア210Dを取外すべく一致して移動するように、ポッドインタフェース209DPとエレベータインタフェース209DEとの相対移動は所定の量に限定されてもよいことに注意されたい。ポッドドア210Dはエレベータ730によって任意の適当な量だけ移動され得るので、ラック210R上の所望の基板990は、この基板が、インタフェースモジュール201に接続された任意の所望の処理モジュールへの搬送のために、例えば基板搬送部202Tによってラック210Rから取り外され得るように、所望の基板搬送面STPに沿って配置される。
基板ポッド210の内部空間350とインタフェースモジュール201の内部との間には圧力差があってもよいことに注意されたい。基板ポッド210とインタフェースモジュール201との間のインタフェースは、動的均圧化などの任意の適当な手法でこの圧力差に対応するよう構成されていてもよい。例えば、エレベータ730は例えば制御部1091のような任意の適当な制御部により制御されてもよく、したがってエレベータ730は電子的な逃し弁を形成する。例えば、インタフェースモジュール201の内部は真空までポンプダウンされるので、圧力はある時点で基板ポッド210の内部の真空圧力を越え、ポートドア209D及びポッドドア210Dが例えば正差圧からの力によって押し開けられる。ポッドドア210Dが開くと、基板ポッド210の容量はインタフェースモジュール201の容量と流体連通し、基板ポッド210の内部の圧力とインタフェースモジュール201の内部の圧力とを均等化させて、2つの容量(例えば基板ポッドの内部及びインタフェースモジュールの内部)の間の圧力差を実質的になくす。この均圧化は、基板ポッド210内の圧力及び/又はインタフェースモジュール201内の圧力を前もって知ることなく行われ得るので、圧力センサは基板ポッド210においては不要であってもよい。
インタフェースモジュール201からのポッド210のアンロードは、インタフェースモジュール201へのポッド210のロードについて上述したのと実質的に逆の手法で行われてもよい。一態様においては、1個以上の基板が例えばラック210Rから外にはみ出しているかどうかをラックがハウジング210H内に挿入される前に検出する、基板はみ出しセンサがあってもよい。
エレベータが下降されている間又は下降されるにつれて、基板は、任意の適当な基板マッピング装置(例えば光学センサ/カメラ、容量センサなど)によってマッピングされてもよい(例えば各基板の位置及び/又はその配向が決定されてもよい)ことに注意されたい。一態様においてはラック210R内の基板の積層体全体が一括して搬送のために基板搬送部202Tに供されてもよいが、他の態様においては一度に1個以上の基板が基板搬送部202Tに供されてもよい。エレベータ703は、ポートプレート209の少なくとも一部とその上に保持されている基板とを例えば任意の適当な量だけ回転させる回転駆動部も備えていてもよい。一態様においては、気体容器390は、例えば基板がラック210Rから/へ搬出入されているときなど、ポッド210がインタフェースモジュール201にドッキングされているときに、任意の適当な時点で再充填されてもよい。ポッド210の内圧は、ドア210Dが開かれる前に、インタフェースモジュール201によって任意の適当な手段で読み取られてもよい。
いくつかの態様においては、インタフェースモジュールは、ポートドアの下方に配置された1つ以上のポートドア基板支持体又は棚を有していてもよい。インタフェースモジュールは、1つ以上の側部ポート201Sを有して構成されることが可能で、例えば側部ポートは図5Cに示すもののような側部ポートスロット弁を備える。いくつかの態様においては、ポートドアが1つ以上のポートドア支持体を側部ポートのうち1つ以上と一致させる位置にあるとき、基板は、基板搬送部を介して、側部ポートを通り、ポートドア支持体の上に置かれてもよい。いくつかの態様においては、エレベータは間欠駆動して1つ以上の特定のポートドア支持体209SSを基板搬送に適切な高さの1つ以上の側部ポートに呈し、基板を支持体上に置くかあるいは基板を支持体から取り外すことができる。一態様においては、基板ポッド210はインタフェースモジュール201上には存在せず、ポートドアは完全に上がった位置にある。そのような態様においては、ポートドア支持体209SSを使用し、側部ポートから側部ポートへとインタフェースモジュールを通って基板を引き渡すことができる。他の態様においては、基板ポッド210が存在するとき、インタフェースモジュール201は通路としても用いることができ、ポートドア支持体209SS及び基板保持支持部210RSのいずれか又は両方のうち1つ以上が1個以上の基板を保持するために用いられる。基板ポッド210が存在しないいくつかの態様においては、第1の側部ポートがある種類の雰囲気(例えば大気圧又は第1のレベルの真空などの第1の圧力の気体)に連通され、第2の側部ポートが別の種類の雰囲気(例えば真空又は第2のレベルの真空などの第2の圧力の気体)に連通され、インタフェースモジュール201の下部チャンバがロードロックとして用いられる。ここで、基板は側部ポート201Sを介してポートドア支持体209SSの上に置かれ、下部チャンバ内の雰囲気は調整され、基板は側部ポート201Sを介して取り外される。他の態様においては、基板ポッド210が存在するとき、インタフェースモジュール201もロードロックとして用いることができ、下部チャンバ内の雰囲気が調整される間、ポートドア支持体209SS及び基板保持支持部210RSのいずれか又は両方のうち1つ以上が側部ポート201Sを介してインタフェースモジュール内へと引き渡された1個以上の基板を保持するために用いられる。これらの態様によれば、基板が典型的には従来のロードロックによって占められる空間を通って設備に出入りしてもよく、それにより設備の合計設置面積が縮小され、またその一方で、基板ポッド210から真空処理への直接的な基板搬送が可能となる。理解されるであろうが、基板ポッド210がインタフェースモジュール上で開いているとき、基板ポッドの内部は通路雰囲気と連通してもよく、その一部を形成してもよい。
次に図11乃至30を参照して、開示される実施形態の態様による例示的な処理ツールを説明する。
図11は、中央搬送チャンバ11001と、中央搬送チャンバ11001の1つ以上の側部に連通可能に連結された1つ以上の処理モジュール11002(実質的に上述したものに類似である)とを有する処理ツール11000を示す。中央搬送チャンバ11001は、任意の適当な多角形であってもよい。この態様において、1つ以上のインタフェースモジュール201は中央搬送チャンバと一体であってもよいし、あるいは中央搬送チャンバに接続されていてもよく、基板ポッド210と例えば処理ツールの任意の適当な部分との間にクリーントンネルが形成されるように、1つ以上の基板ポッド210の処理ツール11000への連結を可能にする。1つ以上のロボット搬送部11003A乃至11003Dが中央搬送チャンバ11001内に、例えば中央搬送チャンバの各角部に配設されてもよく、互いと、処理モジュール11002と、インタフェースモジュール201との間で基板を搬送する。理解されるであろうが、中央搬送チャンバ11001の各側部には2つの処理モジュール11002が示されているが、別の態様においては任意の適当な数の処理モジュールが隣り合った及び/又は積み重ねられた構成で中央搬送チャンバの各側部に連結されてもよい。一態様においては、天井搬送部(図示しない)又は任意の他の適当な搬送部が基板ポッド210を処理ツール11000のインタフェースモジュール201へと搬送してもよい。理解されるであろうが、中央搬送チャンバは、基板露光装置及び基板バッファなど、任意の他の適当な処理設備も備えていてもよい。
図12は、処理ツール11000と実質的に類似の処理ツール12000を示す。この態様において、中央搬送チャンバ11001は、中央搬送チャンバ11001内に配設された基板露光装置12001及び基板バッファ12002とともに示されている。ここで、天井搬送部又は他の適当な搬送部などの搬送部12004は、任意の適当な手法で中央搬送チャンバ11001の一側部とインタフェースして示されている。一態様においては、1つ以上のインタフェースモジュール201が搬送部12004と中央搬送チャンバ11001との間のインタフェースを提供してもよいが、別の態様においては、1つ以上のロードポートを備えた機器フロントエンドモジュールなど、任意の適当なインタフェースが搬送部12004と中央搬送チャンバ11001との間のインタフェースとして提供されてもよい。
次に図13を参照すると、開示される実施形態の態様による別の例示的な処理ツール13000が示されている。ここで、中央搬送チャンバ13001は1つ以上の別個の搬送チャンバ13001A乃至13001Dを備えており、これらは、搬送チャンバ13001A乃至13001Dの間にクリーントンネルが形成されるように任意の適当な手法で互いに接続されている。ここで、これらの別個の搬送チャンバはそれぞれ1つ以上の基板搬送ロボット13003を備えていてもよく、インタフェースモジュール201及び/又は任意の適当なロードロック及び/又はバッファモジュール13005によって互いに接続されていてもよい。理解されるであろうが、インタフェースモジュール201は中央搬送チャンバ13001の中心線に沿って一直線に配置されて示されているが、別の態様においては、インタフェースモジュール201及び/又はロードロック及び/又はバッファモジュール13005は任意の適当な配置であってもよい。
図14は処理ツール13000と略類似の処理ツール14000を示すが、この態様においては、インタフェースモジュール201が中央搬送チャンバ14001内の中央にクラスタ状に配置されており、それぞれ別個の搬送チャンバ13001A乃至13001Dが、インタフェースモジュール201とロードロック又はバッファモジュール13005との両方によって、別の別個の搬送チャンバ13001A乃至13001Dに接続されている。理解されるであろうが、基板ポッド210は、本明細書に記載されているもののような任意の適当な手法で処理ツール13000,14000へと搬送されてもよい。
図15は、2つの基板ポッド210を保持するための2つのポートプレート209を有する中央インタフェースモジュールを備えた処理ツール15000を示す。上述のように、中央インタフェースモジュールは、ポートプレート209に共通の1つ内部チャンバ又はポートプレート209の各々に対して別々の内部チャンバを有する、多ポートインタフェースモジュールであってもよい。この態様において、搬送チャンバ15001A,15001B(本明細書に記載のものと略類似)は、インタフェースモジュール201の対向する側部に接続されている。各搬送チャンバ15001A,15001Bは、本明細書に記載されているような任意の適当な手法で搬送チャンバ15001A,15001Bの1つ以上の側部に接続された1つ以上の処理モジュール11002を有していてもよい。処理ツールは端部15000E1,15000E2を有していてもよく、クリーントンネルがこれらの端部15000E1,15000E2の間に延出している。インタフェースモジュールはこのクリーントンネルの端部間に配置されていてもよく、クリーントンネルへ/から基板を挿入する/取り出すためのクリーントンネルへの途中入口又は中間入口を画定してもよい。
図16はクラスタ状のアーキテクチャを有する処理ツール16000を示す。処理ツール16000は、搬送チャンバ16001の1つ以上の面/側部に接続された1つ以上の処理モジュールを有する多面中央搬送チャンバ16001を備える。この態様においては2つのインタフェースモジュール201が搬送チャンバ16001の各面に接続されているが、他の態様においては、任意の適当な数のインタフェースモジュールが搬送チャンバ16001に接続されてもよい。搬送チャンバ16001内には、インタフェースモジュール201に連結された基板ポッド210と処理モジュール11002との間で基板を搬送する1つ以上の適当な搬送ロボット16003が設けられてもよい。
図17及び18は、処理ツール15000と略類似の処理ツール17000,18000を示す。しかしながら、これらの態様においては、インタフェースモジュールは、3つの基板ポッド210を処理ツール17000,18000に連結するための3つのポートプレート209を備えている。理解されるであろうが、搬送チャンバ17001A,17001Bは、インタフェースモジュール201の長さに対応する長さを有する、直線状に延在する搬送チャンバであってもよい。各搬送チャンバ17001A,17001Bは、インタフェースモジュール201の密閉可能な各開口201S及び搬送チャンバ17001A,17001Bに接続された各処理モジュール11002にアクセスするために各搬送チャンバ17001A,17001Bの長さを延長するよう(例えば任意の適当な手法で)適当に構成された1つ以上の搬送ロボット17002を備えていてもよい。少なくとも1つの処理モジュール11002が、搬送チャンバ17001A,17001Bのインタフェースモジュールとは反対の長尺の側部に、隣り合った及び/又は積み重ねられた配置で接続されてもよいことに注意されたい。
図19は、図17及び18に関して上述したものと略類似の処理ツール19000を示す。しかしながら、1つの搬送チャンバ17001Aのみが設けられている。基板ポッド210をインタフェースモジュール201に搬送するために、搬送部12004が設けられている。理解されるであろうが、搬送部12004は、図17及び18において搬送チャンバ17001A,17001Bの間を通過して基板ポッド210をインタフェースモジュール201へと搬送してもよい。
図20は、中央搬送チャンバ20001(搬送チャンバ16001と略類似であってもよい)と、搬送チャンバ20001に接続された1つ以上の処理モジュールと、搬送チャンバ20001に接続された機器フロントエンドモジュール20005とを有するクラスタ型の処理ツール20000を示す。機器フロントエンドモジュール20005は上述したものと略類似のものであってもよく(例えば図1A乃至1Dを参照)、1つ以上のロードロック20003を介するなどの任意の適当な手法で搬送チャンバ20001に接続されていてもよい。いくつかの実施形態(図示しない)においては、ロードロック20003のうち一方又は両方はインタフェースモジュールに置き換えられてもよく、インタフェースモジュール201は搬送チャンバ20001から取り外されてもよい。理解されるであろうが、搬送チャンバ20001はインタフェースモジュール201を備えていてもよく、及び/又は処理モジュール11002のうち1つ以上はインタフェースモジュール201に置き換えられてもよい。理解されるであろうが、1つ以上の適当な搬送部20010が、基板カセット1050を機器フロントエンドモジュール20005へ及び/又は基板ポッド210をインタフェースモジュール201へ搬送するために設けられてもよい。
次に図21を参照すると、開示される実施形態の態様による別の処理ツール21000が示されている。ここで、処理ツール21000は、例えば図17及び18に関して上述したものと略類似の、長尺のインタフェースモジュール201及び搬送チャンバ17001Aを備えている。長尺の搬送チャンバ21001は搬送チャンバ17001Aに接続されていてもよく、長尺の搬送チャンバ21001の長さを横断するよう構成された1つ以上の適当な搬送カート21002(例えば固定された基板支持体を有する受動的なカート又は1つ以上の搬送アームを取り付けられた能動的なカート)を備えていてもよい。搬送カート21002は、磁気浮上、ケーブル、ベルト又は任意の他の駆動構成といった任意の適当な手法で長尺の搬送チャンバ21001の長さに沿って駆動されてもよい。搬送カート21002は、互いの上方/下方を通過するよう構成されていてもよく、異なる搬送面に沿って、積み重ねられた入口及び出口を有する処理モジュール及び/又は搬送チャンバへと基板を搬送するZ軸運動能力を備えていてもよい。1つ以上の処理セル21005A乃至21005Cは、任意の適当な手法で、長尺の搬送チャンバ21001の任意の部分に沿って、長尺の搬送チャンバ21001に実質的に直接(例えば密閉可能なポートを介して)接続されてもよい。一態様においては、各処理セルは、中央搬送チャンバ15001と、中央搬送チャンバ15001に接続された1つ以上の処理モジュール11002とを備えていてもよい。別の態様においては、処理セルは、例えばロードロック又はバッファモジュールによって、長尺の搬送チャンバ21001に接続されてもよい。ここで、処理セル21005Cは、長尺の搬送チャンバ21001の、インタフェースモジュール201とは反対の一端に配置されており、処理セル21005A,21005Bは長尺の搬送チャンバ21001の対向する側方部分に配置されている。他の態様においては、細長の搬送チャンバ21001は任意の適当な長さを有していてもよく、したがって任意の適当な数の処理セルが長尺の搬送チャンバ21001に接続され得る。これも理解されるであろうが、インタフェースモジュール201及び搬送チャンバ17001Aは、長尺の搬送チャンバ21001に沿った任意の適当な位置に配置されてもよい。一態様においては、2つ以上のインタフェースモジュール201(及び関連する搬送チャンバ)が長尺の搬送チャンバ21001に接続されてもよく、及び/又は処理セル21005A乃至21005Cのうち1つ以上に組み込まれてもよい。
次に図22及び23を参照すると、開示される実施形態の態様による別の処理ツール23000が示されている。処理ツール22000は処理ツール21000と略類似であってもよい。しかしながら、この態様においては、インタフェースモジュールは、任意の適当な数の基板ポッド210とインタフェースするよう延在されていてもよい(単なる例示の目的で、図22のインタフェースモジュール201は6つの基板ポッドとインタフェースするよう構成されたものとして示されており、一方、図23のインタフェースモジュール201は4つの基板ポッドとインタフェースするよう構成されたものとして示されている)。理解されるであろうが、インタフェースモジュール201を長尺の搬送チャンバ21001に接続する搬送チャンバ22001もまた、インタフェースモジュール201の密閉可能な各開口201Sにアクセスするよう延在されて構成されてもよい。例えば、搬送チャンバ22001は、図17及び18に関して上述したものと略類似の、2つの固定式搬送ロボット17002を備えていてもよい。各搬送ロボット17002は、インタフェースモジュール201の各半分と長尺の搬送チャンバ21001との間で基板を搬送するよう構成されていてもよい。他の態様においては、搬送チャンバ22001は、搬送ロボットがカート21002に関して上述したのと類似の手法で搬送チャンバ22001の長さを横断することができるように、シャトル又は滑り台に取り付けられた1つ以上の搬送ロボットを備えていてもよい。
図24を参照すると、別の処理ツール24000が示されている。この態様においては、処理ツールは、2つのインタフェースモジュール201A,201Bと、関連する搬送チャンバと、第1の長尺の搬送チャンバ部21001Aと、第2の長尺の搬送チャンバ部21001Bと、1つ以上の処理セル21005A乃至21005Cと、直列搬送チャンバ17001Cとを備える。搬送チャンバ17001A乃至17001Cの各々は、上述したものと略類似の1つ以上の搬送ロボット17002を備えていてもよい。長尺の搬送チャンバ部21001A,21001Bの各々は、上述したものと略類似の1つ以上のカート21002を備えていてもよい。ここで、搬送チャンバ17001A,17001Bは、第1の長尺の搬送チャンバ部21001Aの対向する側方部分に接続されて、インタフェースモジュール201A,201Bに連結された基板ポッド210の間で第1の長尺の搬送チャンバ部21001A内へと基板を搬送してもよい。直列搬送チャンバ17001Cは、第1の長尺の搬送チャンバ部21001Aを任意の適当な手法で第2の長尺の搬送チャンバ部21001Bと接続してもよい。ここで、直列搬送チャンバ17001Cの長手軸は、第1及び第2の長尺の搬送チャンバ部21001A,21001Bの各々の長手軸と一致している。処理セル21005A,21005Cは、1つ以上のロードロック24001乃至24004などの任意の適当な手法で直列搬送チャンバ17001Cに接続されてもよい。ロードロック24001乃至24004の各々は、各処理セル21005A,21005Cと直列搬送チャンバ17001Cとの間で異なる積み重ねられた搬送面に沿って基板を搬送するための1つ以上の積み重ねられたロードロックを備えていてもよいことに注意されたい。処理セル21005Bは、任意の適当な手法で第2の長尺の搬送チャンバ部21001Bの一端に配設されてもよく、また、積み重ねられた基板搬送/処理面も備え得る。理解されるであろうが、他の態様においては、処理ツール24000の構成要素は基板処理のための任意の適当な配置であってもよい。
図25を参照すると、開示される実施形態の態様による別の処理ツール25000が示されている。処理ツール25000は、処理ツール21000,22000,23000及び24000と略類似であってもよい。しかしながら、理解されるであろう通り、本明細書に記載の処理ツールはいずれも、任意の適当な手法で、例えば長尺の搬送チャンバ21001に接続されていてもよい。単なる例示の目的で、図25は、長尺の搬送チャンバ21001の対向する側方部分に接続されている処理ツール12000を示す。
次に図26を参照すると、開示される実施形態の態様による別の処理ツール26000が示されている。ここで、2つの処理セル18000A,18000Bは、それぞれが処理ツール18000(図18)又は本明細書に記載されているもののような任意の他の適当な処理ツールと実質的に類似であってもよく、例えばロードロック26001,26002を介して任意の適当な手法で互いに接続されていてもよい。機器フロントエンドモジュール26005(上述のものと類似)が処理セル18000A,18000Bとは別個に設けられていてもよい。機器フロントエンドモジュール26005は、1つ以上の側部に、カセット1050を機器フロントエンドモジュール26005に連結するためのロードポートを有していてもよい。機器フロントエンドモジュール26005は、機器フロントエンドモジュール26005の別の側部に接続された1つ以上のインタフェースモジュール201も有していてもよい。機器フロントエンドモジュール26005の1つ以上の搬送ロボットは、カセット1050とインタフェースモジュール201の各々に連結された基板ポッド210との間で基板を搬送してもよい。基板ポッド210は、機器フロントエンドモジュール26005に接続されたインタフェースモジュール201と処理セル18000A,18000Bとの間で、例えば搬送システム26007のような任意の適当な手法で搬送されてもよい。搬送システム26007は天井搬送システムを含むがこれに限られない任意の適当な搬送システムであってもよい。いくつかの実施形態においては、搬送システム26007は、基板ポッドを、処理セル18000A,18000B又はロードロック26001,26002のうち1つ以上に配置されたインタフェースモジュール201に搬送することができる。いくつかの実施形態(図示しない)においては、ロードロック26001,26002のうち一方又は両方がインタフェースモジュールに置き換えられてもよい。
図27は、開示される実施形態の態様による別の処理ツール27000を示す。処理ツール27000は処理ツール26000と略類似であってもよいが、この態様においては、インタフェースモジュール201A乃至201Cのうち1つ以上が機器フロントエンドモジュール26005を処理セル18000A,18000Bのうち1つ以上に接続してもよい。例えば、インタフェースモジュール201A,201Cは、処理セル18000Bの搬送チャンバと機器フロントエンドモジュール26005との間での基板の実質的に直接の搬送を可能にする通路モジュールであってもよい。また、インタフェースモジュール201A,201Cは、基板ポッドが搬送システム26007によって処理ツール27000の全体にわたって搬送されることができるように、カセット1050と基板ポッド210との間での基板の搬送も可能にしてもよい。
図28及び29は、本明細書に記載の処理ツールの組み合わせを含む処理ツール28000,29000を示す。例えば、処理ツール28000は、上述のように基板を1つ以上の基板ポッド210へと搬送するための機器フロントエンドモジュール26005を備える。搬送システム26007のような任意の適当な搬送システムが機器フロントエンドモジュール26005のインタフェースモジュール201と1つ以上の処理セルのインタフェースモジュールとの間で基板ポッドを搬送してもよく、この1つ以上の処理セルは、この例においては処理ツール19000及び14000と略類似の処理セルを含む。また、処理ツール29000は、上述のように基板を1つ以上の基板ポッド210へと搬送するための機器フロントエンドモジュール26005も備えていてもよい。搬送システム26007のような任意の適当な搬送システムが機器フロントエンドモジュール26005のインタフェースモジュール201と1つ以上の処理セルのインタフェースモジュールとの間で基板ポッドを搬送してもよく、この1つ以上の処理セルは、この例においては処理ツール14000と略類似の処理セルを含む。理解されるであろうが、搬送システム26007は基板ポッド210を任意の適当な数及び種類の処理セルへと搬送してもよい。
図30は機器フロントエンドモジュール26005を示す。上述のように、機器フロントエンドモジュール26005はカセット1050と基板ポッド210との間で基板を搬送してもよい。一態様においては、機器フロントエンドモジュール26005は、カセット1050と基板ポッド210との間での実質的に直接に基板を(任意の適当な手法で)分類するよう構成されていてもよい。機器フロントエンドモジュール26005は床の上に配置されていてもよいし、例えば天井から吊るされるか、あるいは例えばパイロンの上に支持されていてもよい。搬送システム26007などの任意の適当な搬送システムが、分類された基板を保持している基板ポッド210を、本明細書に記載のもののような任意の適当な処理セル30000のインタフェースモジュール201へと搬送してもよい。
次に図31を参照すると、開示される実施形態の態様による処理ツール31000が示されている。処理ツール31000は、上述したものと略類似であってもよい。一態様においては、処理ツール31000は、1つ以上のロードポートモジュール1005を有する大気ミニエンバイロメント(EFEM)1060を備える。1つ以上のロードロック203が、密閉可能な開口203Sを介するなどの任意の適当な手法でミニエンバイロメント1060に連結されてもよい。1つ以上のインタフェースモジュール201が、密閉可能な開口203Sを介するなどの任意の適当な手法でロードロック203に連結されてもよい。搬送チャンバ202が、密閉可能な開口203Sを介するなどの任意の適当な手法でロードロック203に連結されてもよく、1つ以上の処理モジュール1030が搬送チャンバ202に連結されてもよい。この態様においては、基板はインタフェースモジュール201、ミニエンバイロメント1060及び/又は搬送チャンバ202を通ってロードロックに出入りしてもよい。搬送チャンバ202及び処理モジュール1030は、真空又は大気環境で基板を処理するための真空及び/又は大気処理プラットフォーム32001(図32)を形成してもよい。一態様においては、ロードロック203は、真空インタフェース(例えばインタフェースモジュール201及び搬送チャンバ202)へ及びそこから基板を搬送するための、上述したものと略類似の任意の適当な基板搬送部を備えていてもよい。この態様においては、搬送チャンバ202の基板搬送部202T及びミニエンバイロメントの基板搬送部は、ロードロック203を通じて基板を搬送するために、ロードロック203の基板搬送部の上へと実質的に直接基板を搬送してもよい。この態様においては、ポッド210からロードロック203への各インタフェースモジュール201を介した基板の搬送は、真空条件下で(例えばインタフェースモジュールが真空インタフェースに真空を提供する真空環境において)行われてもよいが、別の態様においては、インタフェースモジュール201は真空・大気間インタフェースを提供してもよい。
次に図32を参照すると、開示される実施形態の態様による処理ツール32000が示されている。処理ツール32000は処理ツール31000と略類似であってもよいが、この態様においては、インタフェースモジュール201は大気ミニエンバイロメント1060とインタフェースするので、基板はミニエンバイロメント1060又は搬送チャンバ202を通ってロードロック203に出入りする。基板は、ミニエンバイロメント1060を通って真空インタフェースモジュール201に入り及び/又はそこから出ることができる。この態様においては、インタフェースモジュールは、基板がポッド210からミニエンバイロメントへと大気環境で搬送されることができるように、また大気環境がインタフェースモジュール201の内部環境(後述する内部環境又はハウジング210Hの内部など)まで拡張されるように、本明細書に記載の手法でインタフェースモジュール201に嵌合されたポッド又は基板キャリア210を排気するよう構成されていてもよい。インタフェースモジュール201は、本明細書に記載のように、ポッド210が別の処理ツール又はステーションへと移動されるようにポッド210がインタフェースモジュール201から連結解除される前に、ポッド210の内部を真空圧力までポンプダウンするよう構成されていてもよい。ポッド210の内部を真空圧力までポンピングすることにより、下流の真空処理ツール又はプラットフォームにバッチロードロック機能が提供されてもよい。例えば、基板は真空条件下で下流の処理ツールに到着してもよく、これにより基板をポッド210から搬送するときに(例えばポッドを連結されたインタフェースモジュールに連結されている)ロードロック203の排気及びポンピングが排除されて、下流の真空処理ツールのサイクル時間が短縮され得る。図33及び34は処理ツールの一部を図示しており、ロードポートモジュール1005及びロードロック203に対して様々な位置にある、1つ以上のインタフェースモジュール201を連結されたミニエンバイロメントを示す。例えば、図33は、ミニエンバイロメント1060の長手側部に配設されているロードポートモジュール1005及びロードロック203を示し、一方、1つ以上のインタフェースモジュール201はミニエンバイロメント1060の横の側部のうち1つ以上に配置されている。図34は、ミニエンバイロメント1060の同じ長手側部に配置されているロードロック203及びインタフェースモジュール201を示す。他の態様においては、インタフェースモジュールと、ロードポートモジュールと、ロードロックとは、互いに及びミニエンバイロメント1060に対して任意の適当な配置であってもよい。
図35A及び35Bは開示される実施形態の態様による処理ツール(上述したものと略類似であってもよい)の一部を示し、ここでインタフェースモジュール201’は、底部開口式ポッド210を実質的に直接にミニエンバイロメント1060と選択的にインタフェースするよう構成されたポッド(例えば基板キャリア)・ツー・ツールインタフェース、ロードロック203、及び/又は側部開口式ポッド(図33及び34も参照)用のボックス/オープナ・ツー・ツール・スタンダード(BOLTS)インタフェース35001を有する搬送チャンバ202を備えていてもよい。一態様においては、インタフェースモジュール201’は、基板が通過する少なくとも1つの閉止可能な開口201FOを有しミニエンバイロメント1060(例えば大気処理チャンバ)及びロードロック203などの真空処理チャンバ(例えば図31)のうち1つ以上に連結するよう構成された枠201Fを備える。一態様においては、上述のポートドア209D及びエレベータ730と略類似であってもよいドアインタフェース209D’及びエレベータ730が、枠201Fに接続されていてもよい。エレベータ730は、例えばラック210R内の基板が、インタフェースモジュール201’が接続されるミニエンバイロメント1060及び/又はロードロック203の基板搬送部の搬送面と位置合わせされるように、ドアインタフェース209D’を任意の適当な量だけ矢印799の方向に移動させてもよい。ミニエンバイロメント1060及び/又はロードロック203の基板搬送ロボットがロボットアームを矢印799の方向に移動させるZ軸駆動部を備えている一態様においては、エレベータ730は、ポッドハウジング210Hからのポッドドア210Dの分離をもたらすように、短縮されたストロークを有していてもよい。ミニエンバイロメント1060及び/又はロードロック203の基板搬送ロボットがロボットアームを矢印799の方向に移動させるZ軸駆動部を備えていない他の態様においては、エレベータ730は、ポッドハウジング210Hからのポッドドア210Dの分離をもたらすように、且つポッド210の基板ラック210Rを間欠駆動してポッド210により運ばれる各基板をロボットアームの搬送面に沿って配置するように、任意の適当なストロークを有していてもよい。
インタフェースモジュール201’は、一態様においては、矢印799の方向に移動可能な環境シュラウド35002も備えていてもよい。シュラウド35002は、任意の適当な手法で、任意の適当な駆動部により、引込位置35030と引出位置35031との間で矢印799の方向に駆動されてもよい。例えば、駆動部35005(エレベータ730に略類似であってもよいし、及び/又は1998年8月4日に交付された特許文献12及び2000年7月4日に交付された特許文献13に記載されたものと実質的に類似であってもよい。これらの文献の開示内容はその全体が参照により本明細書に組み込まれる)がシュラウド35002に接続されて、引込位置35030と引出位置35031との間で矢印799の方向にシュラウド35002を移動させてもよい。駆動部35005は、本明細書に記載のシュラウド35002を移動させる、リニアアクチュエータ、ねじ駆動部、又は任意の他の適当な駆動部であってもよい。シュラウド35002は、ポッドハウジングインタフェース35010と、1つ以上の側壁35011とを備えていてもよい。ポッドハウジングインタフェース35010は、ポッドハウジング210Hをポッドハウジングインタフェース35010に対して密閉する任意の適当なポートシール590を備えていてもよい。ポッドハウジングインタフェース35010は、ポッドハウジング210Hをポッドハウジングインタフェース35010にクランプするよう構成された1つ以上のクランプ35590も備えていてもよい。一態様においては、シール590及び1つ以上のクランプ35590は、例えば図5A乃至10に関して上述したシール及びクランプと略類似であってもよい。理解されるであろうが、ポッドハウジングインタフェース35010は、ポッドドア210Dがドアインタフェース209D’とインタフェースし、同時にポッドハウジングインタフェース35010がシール590及びクランプ35590を介してポッドハウジング210Hと連結されるように、ドアインタフェース209D’を囲む孔を備えていてもよい。ポッドハウジングインタフェース35010は、任意の適当な手法で1つ以上の側壁35011に連結され、該側壁とのシールを形成してもよい。ポッドハウジング201Hがポッドハウジングインタフェース35010に連結されているとき、ポッドハウジングインタフェース35010と1つ以上の壁35011とが密閉された又は隔離された制御環境容器35002Eを形成するように、1つ以上の側壁35011はロードポート1040に対して密閉されてもよい。
一態様においては、1つ以上の側壁35011とロードポート1040との間及びポッドハウジングインタフェース35010とロードポート1040との間には、ロードポート1040に対してシュラウド35002を密閉するために、任意の適当なシールが設けられてもよい。例えば、シュラウド35002が矢印799の方向に移動されて隔離された制御環境容器35002Eを形成するとき、側壁35011は任意の適当な手法でロードポート1040のBOLTSインタフェース35001のシール部材35020A,35020Bとインタフェースしてもよい。一態様においては、シール部材35020A,35020Bは、BOLTSインタフェース35001(又はロードポート1040の任意の他の適当な位置)及び/又は側壁35011に配設されてもよい。シール部材35020A,35020Bは、シュラウド35002とロードポート1040との間に制御された又は隔離された真空及び/又は大気環境35002Eを保持するよう構成された、ラビリンスシール、ベローズシール、又は任意の他のシールなどの任意の適当なシール部材であってもよい。ポッドハウジングインタフェース35010は、任意の適当な手法でロードポート1040のBOLTSインタフェース35001のシール部材35020Cとインタフェースするよう構成されていてもよい。シール部材35020Cはシール部材35020A,35020Bの間に延出していてもよい。一態様においてはシール部材35020A,35020B,35020Cは統合された一体化構造であってもよいが、他の態様においては、シール部材35020A,35020B,35020Cのうち1つ以上は他のシール部材とインタフェースする別個のシール部材であってもよい。シュラウド35002の底部35002Bは、シール部材35020A,35020B,35020Cと組み合わせてシュラウド35002とロードポート1040との間に隔離された制御環境容器35002Eが形成されるように、インタフェースモジュール201’と任意の適当なシールを形成してもよいことに注意されたい。シュラウド35002の底部とインタフェースモジュール201’との間のシールは、ベローズシール、圧縮シール、ラビリンスシール又は任意の他の適当なシールといった、任意の適当なシールであってもよい。一態様においては、シール部材35020A,35020Bは、BOLTSインタフェース35001(又はロードポート1040の任意の他の適当な位置)及び/又は側壁35011に配設されてもよい。シール部材35020A,35020Bは、シュラウド35002とロードポート1040との間に制御されまたは隔離された真空及び/又は大気環境を保持するよう構成された、ラビリンスシール、ベローズシール、又は任意の他のシールなど、任意の適当なシール部材であってもよい。
インタフェースモジュール201’の動作時には、任意の適当な基板ポッド210搬送部(例えば任意の適当な天井搬送システム、手動オペレータなど)が基板ポッド210をインタフェースモジュール201’へと引き渡してもよい(図36、ブロック36000)。本明細書においてはインタフェースモジュール201’の動作がミニエンバイロメント1060に関して説明されているが、他の態様においては、インタフェースモジュール201’とロードロック203及び搬送チャンバ202のうち1つ以上との間の相互作用が、ミニエンバイロメント1060について本明細書に記載されているものと略類似であってもよいことが理解されるべきである。例えばポッドドア210Dが上述のもののような任意の適当な手法でドアインタフェース209D’と嵌合され、それによってポッドハウジング210Hがシュラウド35002のポッドハウジングインタフェース35010とのシールを形成するように、基板ポッド210はインタフェースモジュール201’とドッキングされてもよい(図36、ブロック36001)。一態様においては、基板ポッド210はドッキングされた位置でインタフェースモジュール201’に引き渡されてもよいが、他の態様においては、基板ポッド210はドッキングされていない位置でインタフェースモジュール201’に引き渡されてもよい。基板ポッドがドッキングされていない位置で引き渡されるときには、インタフェースモジュール201’は、基板ポッド210をドッキングされていない位置からドッキングされた位置へと移動するための任意の適当なシャトル又は搬送ユニットを備えていてもよい。ドアインタフェース209D’は、上述のポートドア209Dと略類似であってもよい。ドアインタフェース209D’とポッドドア210Dとの間の空間は、例えば汚染物質を除去するために排気される(この態様においては真空までポンプダウン及び/又は通気される)(図36、ブロック36002)。ポッドドア210Dは上述の手法でポッドハウジング210Hからラッチ解除されてもよく、駆動部730はポッドドア210D及びその上にある基板の積層体を移動して、ポッドドア210Dをポッドハウジング210Hから分離するとともにその基板の積層体中の所定の基板を所定の位置/高度35040で整調してもよい。
ポッド210及び/又はポッドドアとポートドアとの間の空間の内部容量内の圧力がミニエンバイロメント1060内の圧力と略等しい又はそれよりも高い大気圧となるように、ポッド210及び/又はポッドドア210Dとドアインタフェース209D’との間の空間の内部容量は(例えば窒素又は他の不活性ガスといった)任意の適当な気体を用いて排気され(例えばこの態様においてはパージされ)てもよい(図36、ブロック36003)。一態様においては、基板ポッド201は真空条件でインタフェースモジュール201’に引き渡され(例えばポッドの内部容量は真空圧力に保持される)、ミニエンバイロメント1060とインタフェースするために上述の手法で大気圧まで引き上げられてもよい。他の態様においては、基板ポッド201は大気条件(例えばポッドの内部容量は大気圧に保持される)でインタフェースモジュール201’に引き渡され、ミニエンバイロメント1060とインタフェースするために上述の手法で例えば不活性ガスでパージされてもよい。インタフェースモジュールがロードロック203及び搬送チャンバ202のように真空を保持するよう構成されたチャンバに連結されているさらに他の態様においては、ロードロック203及び/又は搬送チャンバ202の真空環境がポッド210及び/又は隔離された制御環境容器35002Eと共有されるように、ポッド210内の真空がインタフェースモジュール201’により維持されてもよい。
駆動部35005は、図35Bに示すようにポッドハウジング210Hがポッドドア210Dから遠ざけられ、それによってラック210R上の基板の積層体が露出されるか又はミニエンバイロメントの任意の適当な基板搬送部によりアクセス可能になるように、シュラウド35002を矢印799の方向に移動してもよい(図36、ブロック36004)。一態様においては、ポッドハウジング210Hは、1998年8月4日に交付された特許文献12及び2000年7月4日に交付された特許文献13に記載のものと略類似の手法で、シュラウド35002によって上昇されてもよい。これらの文献の開示内容はその全体が参照により本明細書に組み込まれる。他の態様においては、シュラウド35002は略固定的であり、ロードポート1040の周りに隔離された制御環境容器35002Eを提供するように位置決めされていてもよい。基板ポッド210は実質的に固定的なシュラウド35002のポッドハウジングインタフェース35010へと引き渡されてもよい(ここで、ポッドハウジングインタフェースの孔は、インタフェースモジュール201に関して上述したのと略類似の手法でポートプレート209’により密閉される)。ラック210R内の基板の積層体が、後述するのと類似の手法で実質的にミニエンバイロメントの搬送面に沿って所定の基板又は基板支持体210RSを配置するために、略固定的なシュラウド35002のポッドハウジングインタフェース35010から下降され得るように、駆動部730は任意の適当なストロークを備えていてもよいことに注意されたい。
ロードポートドア1040Dは、少なくとも部分的にはシュラウド35002によって形成された隔離された制御環境容器の内部が開口201FOを通じてミニエンバイロメント1060の内部と連通するように、任意の適当な手法で開かれてもよい(図36、ブロック36005)。駆動部730は、所定の基板のミニエンバイロメント1060へ及び/又はからの搬送のために、所定の基板(又は基板保持支持部210RS)がミニエンバイロメント1060基板搬送部(上述の搬送ロボット1013と略類似であってもよい)の搬送面に沿って配置されるように、ラック210Rを矢印799の方向に移動してもよい(図36、ブロック36006)。他の態様においては、ミニエンバイロメントの基板搬送部は、基板をラック210Rから取り外し又は該ラックに配置するためのミニエンバイロメントの搬送面が矢印799の方向に移動し得るように、その一方でラック210Rは矢印799の方向で略固定的なままであるように、Z軸駆動部を備えていてもよい。
理解されるであろうが、インタフェースモジュール210’からの基板ポッド210の搬送は、図36に関して上述したのと実質的に反対の手法で行われてもよい。一態様においては、インタフェースモジュール210’は、上述したような、基板ポッド210がインタフェースモジュール201’から取り外される前に基板ポッド210の内部容量を任意の適当な真空圧力までポンピングするよう構成された、1つ以上の真空ポンプ及び/又は粗引き弁を備えていてもよい。
理解されるであろう通り、ミニエンバイロメントと共通の側部に1つ以上のインタフェースモジュール201’が示されているが、他の態様においては、インタフェースモジュール201’は、BOLTSインタフェース35001又はミニエンバイロメント1060の任意の他の適当なインタフェースなど、(上述のように)ミニエンバイロメントの任意の適当な側部に配置されてもよい。やはり理解されるであろうが、インタフェースモジュール201’は、例えば上流及び下流の基板処理フロー要件に応じて、真空・大気間インタフェース、大気・真空間インタフェース、又はその両方のうち、1つ以上を提供してもよい。例えば、一態様においては、図37に示すように、1つ以上のインタフェースモジュール201’が製造設備37000内に配置されてもよい。製造設備は、例えば2012年9月25日に交付された特許文献14に記載のものと略類似であってもよく、該文献の開示内容はその全体が参照により本明細書に組み込まれる。一態様においては、製造設備は、処理モジュールPTC,PTC1,PTC2,PTB1,PTB2,PTA1,PTA2と、ポッド210をインタフェースモジュール201’へ及びそこから搬送する任意の適当な搬送部37001とを備える。理解されるであろうが、処理モジュールPTC,PTC1,PTC2,PTB1,PTB2,PTA1,PTA2のうちいくつかは大気処理モジュールであってもよく、一方、処理モジュールPTC,PTC1,PTC2,PTB1,PTB2,PTA1,PTA2のうち他のものは真空処理モジュールであってもよい。この態様においては搬送部37001は天井ポッド収納部37001Sを備えた天井搬送システムであるが、他の態様においては、搬送部37001は任意の適当な搬送部であってもよい。一態様においては、1つのインタフェースモジュール201’が、処理フローにおいて大気処理ステーションPTCと真空処理ステーションPTC2との間に配置された(EFEM又は他の大気チャンバにおけるような)大気処理ステーションPTC1に接続されてもよい。処理ステーションPTC1のインタフェースモジュール201’は、基板ポッド210を大気処理にインタフェースし、それから処理モジュールPCT2の真空処理とインタフェースするために基板ポッド210を真空までポンピングするよう構成されていてもよい(例えばここでは、ポッド210が「側部開口部一体化ポッド」と題され2008年5月19日に提出された特許文献11に記載のものと略類似の手段で真空環境と実質的に直接にインタフェースし得るように、基板ポッド210が既に真空雰囲気にあるため、ロードロック動作は省略されてもよい)。処理ステーションPTC2のインタフェースモジュール201’は、ポッド210が、該ポッドの内部が真空の状態で、処理ステーションPTB1へと引き渡され得るように、大気処理モジュールPTB1の上流であってもよく、ここで処理ステーションPTB1のインタフェースモジュール201’は、処理ステーションPTB1の大気環境とインタフェースするために、ポッド210を排気する。他の態様においては、大気処理ステーションPTB1への引き渡しのためにポッド210の内部が大気圧となるように、処理ステーションPTC2のインタフェースモジュールがポッド210を排気してもよい。
次に図38Aを参照すると、インタフェースモジュール201’は、1個以上の基板が、該インタフェースモジュール201’を通過して、真空処理チャンバ又は大気処理チャンバなどの1つの処理チャンバ38000へと、別の真空処理チャンバ又は別の大気処理チャンバなどの別のチャンバ38001から入ることを可能にする、通路ロードロック又はチャンバとして構成されていてもよい。一態様においては、処理チャンバ38001は上述したミニエンバイロメント1060のような大気処理チャンバであってもよく、処理チャンバ38000はバックエンド1020、搬送チャンバ1025及び処理ステーション1030又は任意の他の適当な真空処理チャンバのうち1つ以上と略類似の真空処理チャンバであってもよい(又はその逆)。他の態様においては、処理チャンバ38000,38001の両方がミニエンバイロメント1060のような大気処理チャンバであってもよい。さらに他の態様においては、処理チャンバ38000,38001の両方が、バックエンド1020、搬送チャンバ1025及び処理ステーション1030又は任意の他の適当な真空処理チャンバのうち1つ以上などの真空処理チャンバであってもよい。
通路ロードロック又はチャンバの一例として、インタフェースモジュール201’は密閉されてもよく、インタフェースモジュールドア209D″がポートプレート209の開口を密閉する(図39、ブロック39000)。1つ以上の基板保持位置38011A,38011Bが処理チャンバ38001と処理チャンバ38000との間に延伸する1つ以上の基板搬送面STPに沿って配置されるように、1つ以上の基板保持位置38011A,38011Bを有するラック38010がインタフェースモジュールドア209D″に接続され又は該ドアから垂下してもよい。基板は、(例えば密閉可能な開口203S2が閉じられた状態で)処理チャンバ38001から搬送ロボット38013により密閉可能な開口203S1を通ってインタフェースモジュール201’内の基板保持位置38011A,38011Bへと搬送されてもよい(図39、ブロック39010)。搬送ロボットは、処理チャンバ38001内の雰囲気(例えば大気又は真空)に応じて、本明細書に記載の1つ以上のロボット搬送部に略類似であってもよい。処理チャンバ38001が大気処理チャンバであり処理チャンバ38000が真空処理チャンバである場合には、密閉可能な開口203S1は閉止されてもよく、インタフェースモジュール201’は処理チャンバ38000の真空雰囲気までポンピングされてもよい(図39、ブロック39020)。処理チャンバ38000及び処理チャンバ38001が同一の環境を有する(例えば両処理チャンバが大気環境を有するか、あるいは両処理チャンバが真空環境を有する)場合には、密閉可能な開口203S1は閉止されてもよく、インタフェースモジュールが既に処理チャンバ38000,38001の真空又は大気圧であれば、チャンバのポンピングは省略されてもよい。密閉可能な開口203S2は開かれてもよく、処理チャンバ38000の搬送ロボット38014(これは、処理チャンバ38000の環境に応じて、本明細書に記載の真空及び大気搬送ロボットに略類似であってもよい)がインタフェースモジュール201’から処理チャンバ38000へと基板を搬送してもよい(図39、ブロック39030)。処理チャンバ38000から処理チャンバ38001への基板の搬送は、上述したものとは実質的に逆の手法で行われてもよく、その場合、インタフェースモジュール201’の真空へのポンプダウンは、インタフェースモジュール201’を処理モジュール38001の大気圧にするためのインタフェースモジュール201’の通気とともに行われる。やはり上述のように、処理チャンバ38000,38001の両方が大気環境又は真空環境を有する場合には、インタフェースモジュール201’の通気は省略されてもよい。
以上のように、処理チャンバ38001から処理チャンバ38000への基板の搬送は、インタフェースモジュール201’に係止されたポッド210なしに行われる。図38Bを参照すると、他の態様においては、通路ロードロックはインタフェースモジュール201’に係止されたポッド210を備えてもたらされてもよい。例えば、ポッド210は、ポッドハウジング210Hがインタフェースモジュールポートプレート209開口を密閉するように、上述したものと類似の手法でインタフェースモジュール201’上にロードされてもよい(図40、ブロック40000)。インタフェースモジュールドア209D″は、ラック210Rの基板保持支持部210RSのうち少なくとも1つが少なくとも1つの基板搬送面STPに沿って配置されるように、ポッドドア210Dをポッドハウジング210Hから取り外してポッドドア210Dを下降させてもよい(図40、ブロック40010)。理解されるであろうが、基板保持支持部210RSのうち1つ以上は、基板が密閉可能な開口203S1を通って処理モジュール38001から空の基板支持体へと搬送され得るように、空であってもよい(図40、ブロック40020)。処理チャンバ38001が大気処理チャンバであり処理チャンバ38000が真空処理チャンバである場合には、密閉可能な開口203S1は閉止されてもよく、インタフェースモジュール201’は処理チャンバ38000の真空雰囲気までポンピングされてもよい(図40、ブロック40030)。処理チャンバ38000及び処理チャンバ38001が同一の環境を有する(例えば両処理チャンバが大気環境を有するか、あるいは両処理チャンバが真空環境を有する)場合には、密閉可能な開口203S1は閉止されてもよく、インタフェースモジュールが既に処理チャンバ38000,38001の真空又は大気圧であれば、チャンバのポンピングは省略されてもよい。密閉可能な開口203S2は開かれてもよく、処理チャンバ38000の搬送ロボット38014が基板をインタフェースモジュール201’から処理チャンバ38000へと搬送してもよい(図40、ブロック40040)。処理チャンバ38000から処理チャンバ38001への基板の搬送は、上述したものとは実質的に逆の手法で行われてもよく、その場合、インタフェースモジュール201’の真空へのポンプダウンは、インタフェースモジュール201’を処理モジュール38001の大気圧にするためのインタフェースモジュール201’の通気に置き換えられる。やはり上述のように、処理チャンバ38000,38001の両方が大気環境又は真空環境を有する場合には、インタフェースモジュール201’の通気は省略されてもよい。他の態様においては、基板は処理チャンバ38000からポッド210へと戻されてもよい(図40、ブロック40050)。例えば、基板は、上述のように、処理チャンバ38001の大気環境から処理チャンバ38000の真空環境へと搬送されてもよい。基板はその後、処理チャンバ38000の真空環境からインタフェースモジュール201’の真空環境に配置されたポッドの基板保持支持部210RSへと搬送されてもよい。一態様においては、基板が真空条件下のポッド内で搬送可能であるように、ポッドドアは(例えばポッド210の内部が真空の状態で)閉止されてもよい。
開示される実施形態の1つ以上の態様によれば、基板搬送システムは、開口を有し少なくとも1個の基板を保持する内部環境を形成するハウジングと、開口を外部雰囲気から密閉するドアとを有するキャリアを備え、密閉されたとき、内部環境は内部雰囲気を内部に維持するよう構成されており、ハウジングは、内部環境の外部に流体を収容するよう構成された流体容器を備え、流体容器内に内部雰囲気とは異なる雰囲気を形成して、内部環境をキャリアの外部の環境から密閉する流体バリアシールを形成する。
開示される実施形態の1つ以上の態様によれば、流体容器は、第1の環境が決壊すると流体を内部環境内に放出するよう構成されている。
開示される実施形態の1つ以上の態様によれば、基板搬送システムはキャリアインタフェースを有する真空チャンバを備えており、キャリアインタフェースは、真空チャンバ内の少なくとも1個の基板の搬送のためにキャリアを支持するよう構成されている。
開示される実施形態の1つ以上の態様によれば、ハウジング及びドアのうち少なくとも1つは重複シール配置を備え、重複シール配置は、開口部の外周の周りに配設された少なくとも1つの真空シールと、少なくとも1つの流体容器シールとを含む。
開示される実施形態の1つ以上の態様によれば、流体容器は、内部雰囲気の圧力よりも高い圧力の気体を収容している。
開示される実施形態の1つ以上の態様によれば、流体容器は、大気圧よりも高い圧力の気体を収容している。
開示される実施形態の1つ以上の態様によれば、内部雰囲気は大気圧よりも低い圧力である。
開示される実施形態の1つ以上の態様によれば、キャリアのハウジングは真空内部環境を支持するよう構成されている。
開示される実施形態の1つ以上の態様によれば、重複シール配置の少なくとも1つの真空シールは、第1の平面内に配置された第1のシールと第2の平面内に配置された第2のシールとを備え、第1及び第2の平面は互いに異なる。
開示される実施形態の1つ以上の態様によれば、重複シール配置のシールの各々は、ハウジング及びドアのうち少なくとも1つに凹設されたシール表面と嵌合する。
開示される実施形態の1つ以上の態様によれば、ハウジングは、流体バリアシールが少なくとも1つの真空シールの外側に配設され、少なくとも1つの流体容器シールが流体容器流路の外周の周りに配設されるように、流体容器と連通する流体容器流路を備える。
開示される実施形態の1つ以上の態様によれば、流体容器は、少なくとも1つの真空シールが決壊すると流体容器流路を通じて内部環境内に流体を放出するよう構成されている。
開示される実施形態の1つ以上の態様によれば、ドアは、ハウジングに対して内部環境の真空力により密閉される。
開示される実施形態の1つ以上の態様によれば、ドアは内部環境と真空チャンバとの間の動的均圧化を通じて解放される。
開示される実施形態の1つ以上の態様によれば、キャリアインタフェースは第1の平面内に配置された第1のシールと第2の平面内に配置された第2のシールとを含む重複シール配置を備え、第1及び第2の平面は互いに略直交する。
開示される実施形態の1つ以上の態様によれば、基板搬送部は、真空力を喪失するとドアをハウジングに対して保持するよう構成された受動的なドアロックを備える。
開示される実施形態の1つ以上の態様によれば、受動的なドアロックはボールロック戻り止め及びボールロックプランジャを備える。
開示される実施形態の1つ以上の態様によれば、基板搬送システムはドアをハウジングに対して保持する受動的なドアロックを備え、キャリアインタフェースは受動的なドアロックを解除するよう構成されている。
開示される実施形態の1つ以上の態様によれば、真空チャンバは、真空チャンバを少なくとも1つの基板処理モジュールに連結するための少なくとも1つの密閉可能な開口を備える。
開示される実施形態の1つ以上の態様によれば、キャリアインタフェースは、ドアとキャリアインタフェースとの間の空間及びドアとハウジングとの間のシールのうち少なくとも1つをパージするよう構成されたパージポートを備える。
開示される実施形態の1つ以上の態様によれば、キャリアインタフェースは受動インタフェースである。
開示される実施形態の1つ以上の態様によれば、基板搬送部は、少なくとも1個の基板を第1の雰囲気において収容する内部環境を形成するハウジングを備え、ハウジングは、内部環境への開口と、第1の雰囲気とは異なり第1の雰囲気の外部にある第2の雰囲気との流体バリアシールを形成する流体容器と、開口を閉止するよう構成されたドアであって、開口が閉止されたとき、ハウジングが内部環境内に第1の雰囲気を維持するよう構成されたドアと、ハウジング及びドアのうち少なくとも1つに配設された重複シール配置であって、開口の外周の周りに配設された少なくとも1つの第1のシールと、少なくとも1つの第2のシールとを含み、第2のシールは第1のシールと流体バリアシールとの間に配設される、重複シール配置と、を備える。
開示される実施形態の1つ以上の態様によれば、ハウジングは流体容器と連通し第1のシールの外側に配設された流体容器流路を備え、基板搬送部は流体容器流路の外周の周りに外側に配設された流体容器シールをさらに備える。
開示される実施形態の1つ以上の態様によれば、流体容器は、第1及び第2のシールのうち1つ以上が決壊すると、流体容器流路を通じて流体を内部環境内に放出するよう構成されている。
開示される実施形態の1つ以上の態様によれば、ドアは、ハウジングに対して内部環境の真空力により密閉される。
開示される実施形態の1つ以上の態様によれば、基板搬送部は、真空力を喪失するとドアをハウジングに対して保持するよう構成された受動的なドアロックを備える。
開示される実施形態の1つ以上の態様によれば、受動的なドアロックはボールロック戻り止め及びボールロックプランジャを備える。
開示される実施形態の1つ以上の態様によれば、受動的なドアロックは受動的に解除されるよう構成されている。
開示される実施形態の1つ以上の態様によれば、ドアは少なくとも1個の基板を支持するよう構成されている。
開示される実施形態の1つ以上の態様によれば、基板搬送部は、基板処理雰囲気と共通の第1の雰囲気において少なくとも1個の基板を保持するよう構成された内部環境と、内部環境を密閉するドアと、ドアとハウジングとの間の流体バリアシールとを有するハウジングを備え、流体バリアシールは第1の雰囲気とは異なり第1の雰囲気から隔離された第2の雰囲気を有し、アウターシールが流体バリアシールをハウジングの外部の外部雰囲気から隔離し、インナーシールが、流体バリアシールと第1の雰囲気との間に空洞が存在するように、流体バリアシールを第1の雰囲気から隔離する。
開示される実施形態の1つ以上の態様によれば、流体バリアシールをインナーシールから隔離するために中間シールが設けられる。
開示される実施形態の1つ以上の態様によれば、流体バリアシールは、内部環境とは異なりハウジング及び流体流路に接続された流体容器を備える。
開示される実施形態の1つ以上の態様によれば、流体流路は流体容器をハウジングとドアとの間のインタフェースに接続する。
開示される実施形態の1つ以上の態様によれば、流体バリアシールは外部雰囲気と第1の雰囲気との間に配設された加圧シールである。
開示される実施形態の1つ以上の態様によれば、処理システムは、基板処理ツールと、内部環境及び内部環境とは異なる雰囲気を有する流体バリアシールを有する制御環境キャリアと、制御環境キャリアを基板処理ツールと連結するよう構成された制御環境インタフェースモジュールとを備え、制御環境インタフェースモジュールを通じて制御環境キャリアを処理ツールに連結することにより形成された通路がクリーントンネルを形成する。
開示される実施形態の1つ以上の態様によれば、制御環境インタフェースモジュールのポートドアと制御環境キャリアの底面との間に形成された隙間は、清浄乾燥空気流をポートドアと底面との間のシール全域に方向づけることができる。
開示される実施形態の1つ以上の態様によれば、制御環境インタフェースモジュールはクリーントンネルへの途中入口又は中間入口を画定する。
開示される実施形態の1つ以上の態様によれば、制御環境インタフェースモジュールはクリーントンネルの端部間に配置されている。
開示される実施形態の1つ以上の態様によれば、制御環境インタフェースモジュールは制御環境インタフェースモジュールの内部容量内へと移動可能な回転可能なポートドアを備え、ポートドアの回転は、制御環境キャリア自動化が、必要なウエハ積層体配向以外の配向で制御環境キャリアをロードすることを可能にする。
開示される実施形態の1つ以上の態様によれば、制御環境インタフェースモジュールは通路ロードロックを備える。
開示される実施形態の1つ以上の態様によれば、制御環境キャリアの内部雰囲気は、制御環境キャリアが開いているとき、通路ロードロックの内部雰囲気と連通する。
開示される実施形態の1つ以上の態様によれば、制御環境インタフェースモジュールは、一体化された基板支持体を有するポートドアを備えた通路モジュールである。
開示される実施形態の1つ以上の態様によれば、一体化された基板支持体は、ポートドアと一体に移動する。
開示される実施形態の1つ以上の態様によれば、内部環境及び処理ツールの環境は、制御環境インタフェースモジュールを通って延びる共通の環境である。
開示される実施形態の1つ以上の態様によれば、流体バリアシールは内部環境の雰囲気に対する加圧シールである。
開示される実施形態の1つ以上の態様によれば、制御環境キャリアは、内部環境を保持するためのハウジングと、ハウジングを密閉状態に閉止するドアとを備え、流体バリアシールは、ドアが閉止されたときにハウジングとドアとの間のインタフェースに形成される通路を備える。
開示される実施形態の1つ以上の態様によれば、制御環境キャリアと制御環境インタフェースモジュールとの間のインタフェースは、内部環境の雰囲気から独立して流体バリアシールの流体を充満させるための流体ポートを備える。
開示される実施形態の1つ以上の態様によれば、制御環境キャリアと制御環境インタフェースモジュールとの間のインタフェースは、内部環境の雰囲気から独立して流体バリアシールから流体を排気するための流体ポートを備える。
開示される実施形態の1つ以上の態様によれば、流体ポートは、制御環境キャリア及び制御環境インタフェースモジュールのうち1つ以上の真空雰囲気までポンプダウンする前に及び/又はそれとは別に、流体バリアシールから流体を自動的に排気するよう構成されている。
開示される実施形態の1つ以上の態様によれば、基板処理ツールは、中央搬送チャンバと、中央搬送チャンバの1つ以上の側部に連通可能に連結された処理モジュールとを備え、制御環境インタフェースモジュールが中央搬送チャンバに接続されている。
開示される実施形態の1つ以上の態様によれば、中央搬送チャンバは、1個以上の基板を制御環境インタフェースモジュールと処理モジュールとの間で搬送する少なくとも1つの搬送ロボットを備える。
開示される実施形態の1つ以上の態様によれば、中央搬送チャンバは多角形である。
開示される実施形態の1つ以上の態様によれば、中央搬送チャンバは互いに連結された複数の搬送チャンバを備える。
開示される実施形態の1つ以上の態様によれば、複数の搬送チャンバは、少なくとも制御環境インタフェースモジュールによって互いに連結される。
開示される実施形態の1つ以上の態様によれば、複数の搬送チャンバは線形の搬送トンネルを介して互いに連結される。
開示される実施形態の1つ以上の態様によれば、制御環境インタフェースモジュールは、線形の搬送トンネルの1つ以上の端部に配設される。
開示される実施形態の1つ以上の態様によれば、基板処理ツールは、制御環境キャリアを制御環境インタフェースモジュールへと搬送するための自動化された処理システムを備える。
開示される実施形態の1つ以上の態様によれば、処理ツールは、制御環境インタフェースモジュールとは異なる機器フロントエンドユニットを備える。
開示される実施形態の1つ以上の態様によれば、基板キャリアの密閉方法が提供される。この方法は、内部環境と内部環境を閉止するドアとを有する基板キャリアハウジングを提供することと、ハウジングとドアとの間のインタフェースに流体バリアシールを提供することと、を備え、流体バリアシールはドアの外周の周りに延出するとともに内部環境とは異なる雰囲気を有する。
開示される実施形態の1つ以上の態様によれば、この方法は、内部環境と流体バリアシールとの間のインタフェースに配設された第1のシールを提供することと、流体バリアシールとハウジングの外部の雰囲気との間のインタフェースに配設された第2のシールを提供することと、をさらに備える。
開示される実施形態の1つ以上の態様によれば、この方法は、第1のシールと流体バリアシールとの間に配設された中間シールを提供することをさらに備える。
開示される実施形態の1つ以上の態様によれば、基板ローダモジュールは、基板が通過する少なくとも1つの閉止可能な開口を有するとともに処理ツールの真空環境及び処理ツールの大気環境のうち1つ以上に連結するよう構成された基板キャリア・処理ツール間インタフェースモジュールを備える。基板キャリア・処理ツール間インタフェースモジュールは、基板キャリアの内部環境を処理ツールの真空環境に開くことを可能にするよう構成された真空インタフェースと、基板キャリアの内部環境を処理ツールの大気環境に開くことを可能にするよう構成された大気インタフェースとを備える。
開示される実施形態の1つ以上の態様によれば、基板キャリア・処理ツール間インタフェースモジュールは、基板キャリアのドアと基板キャリアのハウジングとの間に配置された基板キャリア流体バリアシールを排気するか又は充満するよう構成されている。
開示される実施形態の1つ以上の態様によれば、基板キャリア・処理ツール間インタフェースモジュールは、基板キャリアの内部環境を排気するか又は充満するよう構成されている。
開示される実施形態の1つ以上の態様によれば、基板キャリア・処理ツール間インタフェースモジュールは、基板キャリアの少なくとも一部を基板キャリアに出入する基板の搬送面を横切る方向に移動するZ軸駆動部を備える。
開示される実施形態の1つ以上の態様によれば、基板キャリア・処理ツール間インタフェースモジュールは、基板キャリアのシェルを基板キャリアのドアから分離してドアに接続された基板ラックを露出させるよう構成されている。
開示される実施形態の1つ以上の態様によれば、基板キャリア・処理ツール間インタフェースモジュールは、基板処理ツールのロードロックに連結するよう構成されている。
開示される実施形態の1つ以上の態様によれば、基板キャリア・処理ツール間インタフェースモジュールは、基板処理ツールのミニエンバイロメントに連結するよう構成されている。
開示される実施形態の1つ以上の態様によれば、基板処理ツールは、内部に大気環境を有する大気処理チャンバと、内部に真空環境を有し大気処理チャンバに接続されている真空処理チャンバと、基板が通過する少なくとも1つの閉止可能な開口を有し大気処理チャンバ及び真空処理チャンバのうち1つ以上に連結されるよう構成された基板キャリア・処理ツール間インタフェースモジュールとを備える。基板キャリア・処理ツール間インタフェースモジュールは、基板キャリアの内部環境を真空処理チャンバの真空環境に開くことを可能にするよう構成された真空インタフェースと、基板キャリアの内部環境を大気処理チャンバの大気環境に開くことを可能にするよう構成された大気インタフェースとを備える。
開示される実施形態の1つ以上の態様によれば、真空処理チャンバはロードロックを備え、基板キャリア・処理ツール間インタフェースモジュールはロードロックに接続されている。
開示される実施形態の1つ以上の態様によれば、大気処理チャンバはミニエンバイロメントを備え、基板キャリア・処理ツール間インタフェースモジュールはミニエンバイロメントに接続されている。
開示される実施形態の1つ以上の態様によれば、真空処理チャンバはロードロックを備え、大気処理チャンバはミニエンバイロメントを備え、基板キャリア・処理ツール間インタフェースモジュールはロードロックとミニエンバイロメントとの両方に接続されている。
開示される実施形態の1つ以上の態様によれば、基板キャリア・処理ツール間インタフェースモジュールは、基板キャリアのドアと基板キャリアのハウジングとの間に配置された基板キャリア流体バリアシールを排気又は充満するよう構成されている。
開示される実施形態の1つ以上の態様によれば、基板キャリア・処理ツール間インタフェースモジュールは、基板キャリアの内部環境を排気又は充満するよう構成されている。
開示される実施形態の1つ以上の態様によれば、基板キャリア・処理ツール間インタフェースモジュールは、真空処理チャンバ及び大気処理チャンバに接続された通路ロードロックを形成するよう構成され、基板キャリア・処理ツール間インタフェースモジュールは、少なくとも1つの閉止可能な開口のうち1つの下に配設された基板支持体棚を有する。
開示される実施形態の1つ以上の態様によれば、基板キャリア・処理ツール間インタフェースモジュールは、基板が通路ロードロックを通って大気処理チャンバから真空処理チャンバ内へと搬送されるように、且つ基板が基板キャリア・処理ツール間インタフェースモジュールに連結された基板キャリアの真空環境内へと進入するように、構成されている。
開示される実施形態の1つ以上の態様によれば、大気処理チャンバは、真空処理チャンバに接続された後部と、後部とは反対のBOLTSインタフェースと、BOLTSインタフェースと後部との間に延出する側部とを有する機器フロントエンドモジュールであり、基板キャリア・処理ツール間インタフェースモジュールは、後部、側部及びBOLTSインタフェースのうち1つに連結されている。
以上の記載は、開示される実施形態の態様を説明するものに過ぎないことが理解されなければならない。当業者によれば、開示される実施形態の態様から逸脱することなく様々な代替案及び変形が考え出され得る。したがって、開示される実施形態の態様は、添付の特許請求の範囲内に該当するそのような代替案、変形、ならびに変化をすべて包含することが意図されている。さらに、異なる特徴が互いに異なる従属請求項又は独立請求項に記載されているという事実のみでは、これらの特徴の組み合わせが有利に用いられ得ないことを表すものではなく、そのような組み合わせは本発明の態様の範囲内に留まる。

Claims (26)

  1. 開口を有し少なくとも1個の基板を保持する内部環境を形成するハウジングと、
    前記ハウジングを閉止し、前記開口を外部雰囲気から密閉するドアと、
    を有するキャリアを備え、
    密閉されたとき、前記内部環境は内部雰囲気を内部に維持するよう構成されており、前記ドアは、前記内部環境の外部に流体容器を備え、
    前記流体容器は、前記ドア、前記ハウジングの前記開口及び前記流体容器の間の共通の台座によって密閉され、
    前記流体容器は、前記共通の台座において前記ドアを前記ハウジングと嵌合することにより前記開口を閉止することが共通して、前記共通の台座により前記流体容器を閉止及び密閉するように構成され、
    前記流体容器は、密閉された前記流体容器が前記流体容器内に前記内部雰囲気とは異なる雰囲気を形成する流体を収容するように構成され、前記流体容器は、密閉された前記流体容器が流体とともに、前記共通の台座によって閉鎖された通路に配設され、前記内部環境を前記キャリアの外部の環境から密閉する流体バリアシールを形成するように構成されている、基板搬送システム。
  2. 前記流体容器は、前記内部環境が決壊すると流体を前記内部環境内に放出するよう構成されている、請求項1記載の基板搬送システム。
  3. 前記基板搬送システムはキャリアインタフェースを有する真空チャンバをさらに備え、前記キャリアインタフェースは、前記真空チャンバ内の前記少なくとも1個の基板の搬送のために前記キャリアを支持するよう構成されている、請求項1記載の基板搬送システム。
  4. 前記ドアは前記内部環境と前記真空チャンバとの間の動的均圧化によって解放される、請求項3記載の基板搬送システム。
  5. 前記真空チャンバは、前記真空チャンバを少なくとも1つの基板処理モジュールに連結するための少なくとも1つの密閉可能な開口を備える、請求項3記載の基板搬送システム。
  6. 前記キャリアインタフェースは第1の平面内に配設された第1のシールと第2の平面内に配設された第2のシールとを含む重複シール配置を備え、前記第1及び第2の平面は互いに略直交する、請求項3記載の基板搬送システム。
  7. 前記ドアを前記ハウジングに対して保持する受動的なドアロックをさらに備え、前記キャリアインタフェースは前記受動的なドアロックを解除するよう構成された、請求項3記載の基板搬送システム。
  8. 前記キャリアインタフェースは、前記ドアと前記キャリアインタフェースとの間の空間及び前記ドアと前記ハウジングとの間のシールのうち少なくとも1つをパージするよう構成されたパージポートを備える、請求項3記載の基板搬送システム。
  9. 前記キャリアインタフェースは受動インタフェースである、請求項3記載の基板搬送システム。
  10. 前記ハウジング及びドアのうち少なくとも1つは重複シール配置を備え、前記重複シール配置は、前記開口の外周の周りに配設された少なくとも1つの真空シールと、少なくとも1つの流体容器シールとを含む、請求項1記載の基板搬送システム。
  11. 前記重複シール配置の前記少なくとも1つの真空シールは、第1の平面内に配置された第1のシールと第2の平面内に配置された第2のシールとを備え、前記第1及び第2の平面は互いに異なる、請求項10記載の基板搬送システム。
  12. 前記重複シール配置の前記シールの各々は、前記ハウジング及びドアのうち少なくとも1つに凹設されたシール表面と嵌合する、請求項10記載の基板搬送システム。
  13. 前記流体容器は前記内部雰囲気の圧力よりも高い圧力の気体を収容している、請求項1記載の基板搬送システム。
  14. 前記流体容器は大気圧よりも高い圧力の気体を収容している、請求項1記載の基板搬送システム。
  15. 前記内部雰囲気は大気圧よりも低い圧力である、請求項1記載の基板搬送システム。
  16. 前記キャリアの前記ハウジングは真空内部環境を支持するよう構成されている、請求項1記載の基板搬送システム。
  17. 前記ドアは、前記流体バリアシールが前記少なくとも1つの真空シールの外側に配設され、少なくとも1つの流体容器シールが流体容器流路の外周の周りに配設されるように、前記流体容器と連通する前記流体容器流路を備える、請求項1記載の基板搬送システム。
  18. 前記流体容器は、前記少なくとも1つの真空シールが決壊すると前記流体容器流路を通じて前記内部環境内に流体を放出するよう構成されている、請求項17記載の基板搬送システム。
  19. 前記ドアは、前記ハウジングに対して前記内部環境の真空力により密閉される、請求項1記載の基板搬送システム。
  20. 前記真空力を喪失すると前記ドアを前記ハウジングに対して保持するよう構成された受動的なドアロックをさらに備える、請求項19記載の基板搬送システム。
  21. 前記受動的なドアロックはボールロック戻り止め及びボールロックプランジャを備える、請求項20記載の基板搬送システム。
  22. 基板処理雰囲気と共通の第1の雰囲気において少なくとも1個の基板を保持するよう構成された内部環境を有するハウジングと、
    前記内部環境を密閉するドアと、
    前記ドアと前記ハウジングとの間の流体バリアシールと、
    を備え、前記流体バリアシールは前記第1の雰囲気とは異なり前記第1の雰囲気から隔離された第2の雰囲気を有し、前記ハウジングと前記ドアの共通の台座との間のアウターシールが前記流体バリアシールを前記ハウジングの外部の外部雰囲気から隔離し、前記ハウジングと前記ドアの前記共通の台座との間のインナーシールが、前記ドアの前記共通の台座と前記ハウジングとの間に形成された、隔離され密閉された空洞が、前記流体バリアシールと前記第1の雰囲気との間に存在するように、前記流体バリアシールを前記第1の雰囲気から隔離する、基板搬送部。
  23. 前記流体バリアシールを前記インナーシールから隔離するよう構成された中間シールをさらに備える、請求項22記載の基板搬送部。
  24. 前記流体バリアシールは、前記内部環境とは異なり前記ハウジング及び流体流路に接続された流体容器を備える、請求項22記載の基板搬送部。
  25. 前記流体流路は前記流体容器を前記ハウジングと前記ドアとの間のインタフェースに接続する、請求項24記載の基板搬送部。
  26. 前記流体バリアシールは前記外部雰囲気と前記第1の雰囲気との間に配設された加圧シールである、請求項22記載の基板搬送部。
JP2019002875A 2013-01-22 2019-01-10 基板搬送部 Active JP6740394B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361755156P 2013-01-22 2013-01-22
US61/755,156 2013-01-22
US14/161,039 2014-01-22
US14/161,039 US10395959B2 (en) 2013-01-22 2014-01-22 Substrate transport

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2015555233A Division JP6510423B2 (ja) 2013-01-22 2014-01-22 基板搬送部

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2020125542A Division JP7263639B2 (ja) 2013-01-22 2020-07-22 基板搬送部

Publications (2)

Publication Number Publication Date
JP2019071465A JP2019071465A (ja) 2019-05-09
JP6740394B2 true JP6740394B2 (ja) 2020-08-12

Family

ID=51206902

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2015555233A Active JP6510423B2 (ja) 2013-01-22 2014-01-22 基板搬送部
JP2019002875A Active JP6740394B2 (ja) 2013-01-22 2019-01-10 基板搬送部
JP2020125542A Active JP7263639B2 (ja) 2013-01-22 2020-07-22 基板搬送部
JP2022193651A Pending JP2023022259A (ja) 2013-01-22 2022-12-02 基板搬送部

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2015555233A Active JP6510423B2 (ja) 2013-01-22 2014-01-22 基板搬送部

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2020125542A Active JP7263639B2 (ja) 2013-01-22 2020-07-22 基板搬送部
JP2022193651A Pending JP2023022259A (ja) 2013-01-22 2022-12-02 基板搬送部

Country Status (7)

Country Link
US (4) US10395959B2 (ja)
EP (1) EP2948980B1 (ja)
JP (4) JP6510423B2 (ja)
KR (3) KR20230037672A (ja)
CN (2) CN108630585B (ja)
TW (5) TWI765789B (ja)
WO (1) WO2014116681A2 (ja)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9176397B2 (en) 2011-04-28 2015-11-03 Mapper Lithography Ip B.V. Apparatus for transferring a substrate in a lithography system
KR20230037672A (ko) 2013-01-22 2023-03-16 브룩스 오토메이션 인코퍼레이티드 기판 이송기
JP6377918B2 (ja) * 2014-03-06 2018-08-22 株式会社ダイヘン 基板損傷検出装置、その基板損傷検出装置を備えた基板搬送ロボット及び基板損傷検出方法
JP2017513036A (ja) 2014-11-14 2017-05-25 マッパー・リソグラフィー・アイピー・ビー.ブイ. 貨物固定システムおよびリソグラフィシステム内で基板を移送するための方法
US10134623B2 (en) * 2015-07-13 2018-11-20 Brooks Automation, Inc. On the fly automatic wafer centering method and apparatus
TWI788061B (zh) * 2015-08-04 2022-12-21 日商昕芙旎雅股份有限公司 門開閉系統及具備門開閉系統之載入埠
US10361108B2 (en) * 2015-12-14 2019-07-23 Solarcity Corporation Ambidextrous cassette and methods of using same
US10242897B2 (en) * 2015-12-14 2019-03-26 Solarcity Corporation Micro-environment container for photovoltaic cells
US10861723B2 (en) * 2017-08-08 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. EFEM robot auto teaching methodology
US10153282B1 (en) * 2017-08-11 2018-12-11 Lam Research Corporation Ultra-high vacuum transport and storage
US11194259B2 (en) * 2018-08-30 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Equipment module with enhanced protection from airborne contaminants, and method of operation
US11380564B2 (en) 2018-09-19 2022-07-05 Applied Materials, Inc. Processing system having a front opening unified pod (FOUP) load lock
CN109686687B (zh) * 2018-11-21 2021-02-05 长江存储科技有限责任公司 容置箱、操作台以及承载系统
JP6502572B1 (ja) * 2018-12-14 2019-04-17 株式会社アルバック ロードロックチャンバ及び真空処理装置
US11139190B2 (en) 2019-04-23 2021-10-05 Applied Materials, Inc. Equipment front end modules including multiple aligners, assemblies, and methods
PT3758049T (pt) * 2019-06-26 2022-03-21 Atotech Deutschland Gmbh & Co Kg Dispositivo e método para mover um objeto para uma estação de processamento, sistema de transporte e aparelho de processamento
US11164769B2 (en) * 2019-07-30 2021-11-02 Brooks Automation, Inc. Robot embedded vision apparatus
US11545379B2 (en) * 2020-07-31 2023-01-03 Nanya Technology Corporation System and method for controlling semiconductor manufacturing equipment
US11929264B2 (en) * 2021-03-03 2024-03-12 Applied Materials, Inc. Drying system with integrated substrate alignment stage
US20220293440A1 (en) * 2021-03-11 2022-09-15 Taiwan Semiconductor Manufacturing Company Limited Load port and methods of operation
KR102307687B1 (ko) * 2021-06-25 2021-10-05 (주) 티로보틱스 기판 이송 로봇을 진공 챔버 내에서 주행하기 위한 주행 로봇
CN114695222B (zh) * 2022-06-02 2022-08-16 江苏邑文微电子科技有限公司 晶片传输系统和方法

Family Cites Families (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59175133U (ja) 1983-05-07 1984-11-22 日立造船株式会社 レベルセンサ
US4724874A (en) * 1986-05-01 1988-02-16 Asyst Technologies Sealable transportable container having a particle filtering system
DE3824104A1 (de) * 1987-07-17 1989-01-26 Koyo Seiko Co Ferrofluid-dichtung
JPH0648508Y2 (ja) 1988-10-05 1994-12-12 三菱重工業株式会社 遠隔x線透過検査装置
US5169272A (en) * 1990-11-01 1992-12-08 Asyst Technologies, Inc. Method and apparatus for transferring articles between two controlled environments
JP3191392B2 (ja) * 1992-04-07 2001-07-23 神鋼電機株式会社 クリーンルーム用密閉式コンテナ
US5469963A (en) * 1992-04-08 1995-11-28 Asyst Technologies, Inc. Sealable transportable container having improved liner
US5291923A (en) * 1992-09-24 1994-03-08 Internatinal Business Machines Corporation Door opening system and method
JP2783950B2 (ja) * 1992-09-30 1998-08-06 太陽誘電株式会社 電子部品実装機の部品ホッパ
JP3226998B2 (ja) * 1992-12-04 2001-11-12 株式会社荏原製作所 二重シール容器構造
US5788458A (en) 1995-07-10 1998-08-04 Asyst Technologies, Inc. Method and apparatus for vertical transfer of a semiconductor wafer cassette
JPH09246351A (ja) * 1996-03-04 1997-09-19 Tdk Corp クリーン搬送方法、クリーン搬送装置及びクリーン装置
US6082949A (en) 1996-10-11 2000-07-04 Asyst Technologies, Inc. Load port opener
US6170690B1 (en) * 1997-05-09 2001-01-09 Shin-Etsu Polymer Co., Ltd. Air-tightly sealable container with bell jar covering
JPH1187459A (ja) * 1997-07-09 1999-03-30 Canon Inc 基板搬送装置、半導体製造システムおよびデバイス製造方法
US6002840A (en) 1997-09-30 1999-12-14 Brooks Automation Inc. Substrate transport apparatus
DE19813684C2 (de) 1998-03-27 2001-08-16 Brooks Automation Gmbh Einrichtung zur Aufnahme von Transportbehältern an einer Be- und Entladestation
US6501070B1 (en) 1998-07-13 2002-12-31 Newport Corporation Pod load interface equipment adapted for implementation in a fims system
US6281516B1 (en) 1998-07-13 2001-08-28 Newport Corporation FIMS transport box load interface
US6188323B1 (en) 1998-10-15 2001-02-13 Asyst Technologies, Inc. Wafer mapping system
US6354601B1 (en) * 1999-01-06 2002-03-12 Fluoroware, Inc. Seal for wafer containers
JP2000216175A (ja) * 1999-01-26 2000-08-04 Sony Corp 密閉コンテナ及び雰囲気置換装置並びにこれらの製造方法
JP3226511B2 (ja) * 1999-06-23 2001-11-05 ティーディーケイ株式会社 容器および容器の封止方法
JP3769417B2 (ja) * 1999-06-30 2006-04-26 株式会社東芝 基板収納容器
US6636626B1 (en) 1999-11-30 2003-10-21 Wafermasters, Inc. Wafer mapping apparatus and method
JP2001284433A (ja) * 2000-01-28 2001-10-12 Sony Corp 基板移載装置及び基板移載方法
KR100462237B1 (ko) * 2000-02-28 2004-12-17 주성엔지니어링(주) 기판 냉각장치를 가지는 반도체 소자 제조용 클러스터 장비
JP2001298076A (ja) * 2000-04-12 2001-10-26 Sony Corp 基板搬送コンテナ
AU2001270277A1 (en) * 2000-06-30 2002-01-14 Ajs Automation, Inc. Apparatus and methods for semiconductor wafer processing equipment
JP3939101B2 (ja) * 2000-12-04 2007-07-04 株式会社荏原製作所 基板搬送方法および基板搬送容器
JP2002231803A (ja) * 2001-02-05 2002-08-16 Sony Corp 基板搬送コンテナ、基板搬送コンテナ開閉装置および基板収納方法
US6452503B1 (en) 2001-03-15 2002-09-17 Pri Automation, Inc. Semiconductor wafer imaging system
JP2001319959A (ja) * 2001-03-30 2001-11-16 Tokyo Electron Ltd 減圧・常圧処理装置
KR100417245B1 (ko) * 2001-05-02 2004-02-05 주성엔지니어링(주) 웨이퍼 가공을 위한 클러스터 툴
US6918731B2 (en) 2001-07-02 2005-07-19 Brooks Automation, Incorporated Fast swap dual substrate transport for load lock
US6825486B1 (en) 2001-07-13 2004-11-30 Cyberoptics Corporation System for mapping wafers using predictive dynamic lighting
JP2003059999A (ja) * 2001-08-14 2003-02-28 Tokyo Electron Ltd 処理システム
US20090029560A1 (en) * 2001-12-07 2009-01-29 Applied Materials, Inc. Apparatus and method for single substrate processing
US7988398B2 (en) * 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
WO2004010476A2 (en) * 2002-07-22 2004-01-29 Brooks Automation, Inc. Substrate processing apparatus
US6869263B2 (en) * 2002-07-22 2005-03-22 Brooks Automation, Inc. Substrate loading and unloading station with buffer
US20040069409A1 (en) 2002-10-11 2004-04-15 Hippo Wu Front opening unified pod door opener with dust-proof device
JP2004349503A (ja) * 2003-05-22 2004-12-09 Tokyo Electron Ltd 被処理体の処理システム及び処理方法
US7015492B2 (en) 2003-08-15 2006-03-21 Asm International N.V. Method and apparatus for mapping of wafers located inside a closed wafer cassette
JP2005333076A (ja) * 2004-05-21 2005-12-02 Tokyo Electron Ltd ロードロック装置、処理システム及びその使用方法
US7282097B2 (en) * 2004-06-14 2007-10-16 Applied Materials, Inc. Slit valve door seal
FR2874744B1 (fr) * 2004-08-30 2006-11-24 Cit Alcatel Interface sous vide entre une boite de mini-environnement et un equipement
US7255747B2 (en) * 2004-12-22 2007-08-14 Sokudo Co., Ltd. Coat/develop module with independent stations
US8821099B2 (en) 2005-07-11 2014-09-02 Brooks Automation, Inc. Load port module
US20070140822A1 (en) * 2005-12-16 2007-06-21 Applied Materials, Inc. Methods and apparatus for opening and closing substrate carriers
WO2007101207A2 (en) * 2006-02-27 2007-09-07 Anaconda Semi Lp Process chambers for substrate vacuum processing tool
FR2902235B1 (fr) * 2006-06-09 2008-10-31 Alcatel Sa Dispositif de transport, de stockage et de transfert de substrats
US20080045030A1 (en) * 2006-08-15 2008-02-21 Shigeru Tahara Substrate processing method, substrate processing system and storage medium
KR20140069354A (ko) 2006-08-18 2014-06-09 브룩스 오토메이션 인코퍼레이티드 용량이 축소된 캐리어, 이송, 로드 포트, 버퍼 시스템
JP4896899B2 (ja) * 2007-01-31 2012-03-14 東京エレクトロン株式会社 基板処理装置およびパーティクル付着防止方法
JP4893425B2 (ja) * 2007-03-30 2012-03-07 東京エレクトロン株式会社 枚葉式の基板処理装置、枚葉式の基板処理装置の運転方法及び記憶媒体
FR2915831B1 (fr) * 2007-05-04 2009-09-25 Alcatel Lucent Sas Interface d'enceinte de transport
KR101522324B1 (ko) * 2007-05-18 2015-05-21 브룩스 오토메이션 인코퍼레이티드 로드 락 빠른 펌프 벤트
KR20100031681A (ko) * 2007-05-18 2010-03-24 브룩스 오토메이션 인코퍼레이티드 빠른 교환 로봇을 가진 컴팩트 기판 운송 시스템
US8109407B2 (en) 2007-05-30 2012-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for storing substrates
US9169554B2 (en) * 2008-05-30 2015-10-27 Alta Devices, Inc. Wafer carrier track
FR2933812B1 (fr) * 2008-07-11 2010-09-10 Alcatel Lucent Dispositif de chargement/dechargement de substrats
TWI341816B (en) * 2008-08-14 2011-05-11 Gudeng Prec Industral Co Ltd A wafer container having the latch and inflatable seal element
TWI365836B (en) * 2009-05-08 2012-06-11 Gudeng Prec Industral Co Ltd Wafer container with the magnetic latch
CN102576687B (zh) 2009-11-17 2015-11-25 昕芙旎雅有限公司 晶圆检测装置
US8591809B2 (en) 2010-03-15 2013-11-26 Samsung Electronics Co., Ltd. Substrate transfer container, gas purge monitoring tool, and semiconductor manufacturing equipment with the same
TWI586500B (zh) * 2010-10-08 2017-06-11 布魯克斯自動機械公司 機器人運送裝置及基板處理裝置
WO2012098871A1 (ja) * 2011-01-20 2012-07-26 東京エレクトロン株式会社 真空処理装置
TWI540634B (zh) * 2011-03-21 2016-07-01 Nuora Corp Co Ltd 具有控制施於基材上之電漿偏壓能力的線性連續腔體電漿製程設備
JP5810929B2 (ja) * 2012-01-13 2015-11-11 シンフォニアテクノロジー株式会社 ウェーハ搬送装置
KR20230037672A (ko) 2013-01-22 2023-03-16 브룩스 오토메이션 인코퍼레이티드 기판 이송기
JP6217977B2 (ja) * 2014-02-27 2017-10-25 Tdk株式会社 ポッド、及び該ポッドを用いたパージシステム

Also Published As

Publication number Publication date
CN105431933B (zh) 2018-06-12
TWI627696B (zh) 2018-06-21
TW202145426A (zh) 2021-12-01
JP6510423B2 (ja) 2019-05-08
JP2016509754A (ja) 2016-03-31
KR20210126156A (ko) 2021-10-19
CN105431933A (zh) 2016-03-23
EP2948980A2 (en) 2015-12-02
JP2023022259A (ja) 2023-02-14
TW202308022A (zh) 2023-02-16
KR102313407B1 (ko) 2021-10-15
US20210407836A1 (en) 2021-12-30
TW201438132A (zh) 2014-10-01
KR20230037672A (ko) 2023-03-16
TWI684234B (zh) 2020-02-01
CN108630585A (zh) 2018-10-09
US11658051B2 (en) 2023-05-23
TW201841296A (zh) 2018-11-16
US20140202921A1 (en) 2014-07-24
CN108630585B (zh) 2022-06-21
EP2948980B1 (en) 2021-08-25
US11121015B2 (en) 2021-09-14
TWI814354B (zh) 2023-09-01
WO2014116681A4 (en) 2014-12-24
JP2020174219A (ja) 2020-10-22
JP7263639B2 (ja) 2023-04-25
KR102548468B1 (ko) 2023-06-27
TWI765789B (zh) 2022-05-21
US10395959B2 (en) 2019-08-27
TW202042336A (zh) 2020-11-16
US20230377924A1 (en) 2023-11-23
TWI738166B (zh) 2021-09-01
KR20150111971A (ko) 2015-10-06
WO2014116681A3 (en) 2014-10-23
WO2014116681A2 (en) 2014-07-31
JP2019071465A (ja) 2019-05-09
US20200161153A1 (en) 2020-05-21

Similar Documents

Publication Publication Date Title
JP6740394B2 (ja) 基板搬送部
US11201070B2 (en) Side opening unified pod
US11404297B2 (en) Systems, apparatus, and methods for an improved load port
JP2019216264A (ja) オンザフライ基板センタリングを含む処理装置
KR101932265B1 (ko) 측면 개방형 기판 캐리어 및 로드 포트
US11581204B2 (en) Semiconductor device manufacturing system and method for manufacturing semiconductor device
KR100717990B1 (ko) 반도체 자재 처리를 위한 이송 시스템
WO2008144668A1 (en) Side opening substrate carrier and load port
JP4691281B2 (ja) シリンダ及びそれを用いたロードポート並びに生産方式
WO2004069698A2 (en) Transport system having shared load-lock front-end assembly

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190110

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20191122

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20191203

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20200225

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200602

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200623

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200722

R150 Certificate of patent or registration of utility model

Ref document number: 6740394

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250